三八译码器原理(三八译码器原理图)

http://www.itjxue.com  2023-01-27 09:54  来源:未知  点击次数: 

什么是38译码器啊

38译码器是指将3位2进制数通过电路转换成八路不同状态的输出;以74ls138为例:

①当一个选通端(E1)为高电平,另两个选通端((/E2))和(/E3))为低电平时,可将地址端(A0、A1、A2)的二进制编码在Y0至Y7对应的输出端以低电平译出。比如:A2A1A0=110时,则Y6输出端输出低电平信号。

②利用 E1、E2和E3可级联扩展成 24 线译码器;若外接一个反相器还可级联扩展成 32 线译码器。

③若将选通端中的一个作为数据输入端时,74LS138还可作数据分配器。

④可用在8086的译码电路中,扩展内存。

3-8译码器原理图实现方式的流程是什么?

三八译码器原理 就是把3位二进制码 转换为8个一位2进制码的元件。也就是说3-8译码器的输入是3位二进制码3条脚(定义为A0、A1、A2), 输出是8条脚(定义为Y0、Y1、Y2、Y3、Y4、Y5、Y6、Y7)。

真值表如下

输入 A0 A1 A2 输出 Y0、Y1、Y2、Y3、Y4、Y5、Y6、Y7

0 0 0 0 1 1 1 1 1 1 1

0 0 1 1 0 1 1 1 1 1 1

0 1 0 1 1 0 1 1 1 1 1

0 1 1 1 1 1 0 1 1 1 1

1 0 0 1 1 1 1 0 1 1 1

1 0 1 1 1 1 1 1 0 1 1

1 1 0 1 1 1 1 1 1 0 1

1 1 1 1 1 1 1 1 1 1 0

三八译码器已有成品例如74LS138,74HC138等多种。

如果自己做步骤如下:

1、列出真值表(上面已有)

2、列出逻辑算式并简化:例如Y0=A0+A1+A2。Y1=A0+A1+A2非。以此类推

3、用逻辑电路搭建。

如何用38译码器实现4输入64输出

38译码器:通过3位输入控制输出8位中的一位,起到一个片选的作用

原理图中的ABC对应的是P25.26.27口 输出8位中 目前只用到了Y4567

通过25.26.27口 使得Y4567位低电平,那么对应的27(C)26(B)25(A)口十进制数分别是4567

Y4:100(CBA)Y5:101,Y6:110 Y7:111 因为原理图是ABC这按CBA排序好理解

(责任编辑:IT教学网)

更多

推荐word文章