单片机抢答器课程设计报告总结(基于单片机抢答器的设计)

http://www.itjxue.com  2023-02-26 13:36  来源:未知  点击次数: 

求一单片机抢答器论文(C语言编程)

电子实习任务书

1、时间:2006年12月18日—2006年1月7日

2、实习单位:

3、实习目的:

1提高电路分析水平及综合运用的能力。

2熟悉常用电子元器件的外型结构,掌握其标志方法。

3掌握印制电路板的设计与制作方法。

4通过产品的安装与调试,掌握电子产品的装配工艺与调试方法。

5注重实践能力的培养,提高个人动手实践能力。

4、实习任务:

基本要求:

1具有八路输入,能够识别最先输入的信号,显示选号,并有声音提示。

2对回答问题所用的时间进行到计时显示,并提示结束时间报警。

3具有抢答开关功能,按下该键后系统进入抢答状态。

发挥部分:

1在按下抢答开关之前的信号判断为提前抢答,显示选号,声音提示,连续达到定次数后限制其抢答。

2具有设置上述提及的时间、次数等参数的功能。

实习报告

前言

随着现代电子电路的快速发展,以及电子行业对现有电子工程技术的不断需求,特别是对实际操作实践的电子人才的需求越来越多,所以加强学生动手能力、重视实践应该是电子发展需求的必然趋向。实践动手能力的培养是一种综合能力,这种能力当然是在一定难度的前提下完成的,通过一定数量的实践才能逐步形成的。因此在培养实践能力的同时,要通过实践来不断的发现问题和解决问题的途径和方法,从而提高实践能力。

近年来,随着单片机档次的不断提高,功能的不断完善,其应用日趋成熟、应用领域日趋扩大,特别是工业测控、尖端武器和日用家电等领域更是因为有了单片机而生辉增色。单片机应用技术已成为一项新的工程应用技术。本次实习设计的题目为基于单片机的抢答器。

在本次的课程设计中我主要负责了该系统的印制电路板PCB的制作

一、方案论证

方案一:系统各部分采用中小规模集成数字电路,用机械开关按钮作为控制开关,完成抢答输入信号的触发。该方案的特点是中小规模集成电路应用技术成熟,性能可靠,能方便地完成选手抢答的基本功能,但是由于系统功能要求较高,所以电路连接集成电路相对较多,而且过于复杂,并且制作过程工序比较烦琐,使用不太方便。

方案二:该系统采用MCS-51系列单片机AT89S51作为控制核心,该系统可以完成运算控制、信号识别以及显示功能的实现。由于用了单片机,使其技术比较成熟,应用起来方便、简单并且单片机周围的辅助电路也比较少,便于控制和实现。整个系统具有极其灵活的可编程性,能方便地对系统进行功能的扩张和更改性。 CS-51单片机特点如下:

1 可靠性好:单片机按照工业控制要求设计,抵抗工业噪声干扰优于一般的CPU ,程序指令和数据都可以烧写在ROM许多信号通道都在同一芯片,因此可靠性高。

2 易扩充:单片机有一般电脑所必须的器件,如三态双向总线,串并行的输入及输出引脚,可扩充为各种规模的微电脑系统

3 控制功能强:单片机指令除了输入输出指令,逻辑判断指令外还有更丰富的条件分支跳跃指令。原理框图如1-1所示;

图1-1

方案比较及其选用依据,显然方案二比方案一简单的多,不但从性能上优于方案一,而且在使用上及其功能的实现上都较方案一简洁,并且由于单片机具有优越的高集成电路性,使其工作速度更快、效率更高。另外AT89S51单片机采用12MHz的晶振,提高了信号的测量精度,并且使该系统可以通过软件改进来扩张功能。而方案一采用了中小规模集成电路,有其复杂的电路性能,从而可能会使信号的输入输出产生延时及不必要的误差。依此依据选择方案二比较适合。

二、原理分析

1. 本电路采用单片机AT89C51作为控制芯片,单片机的P0口外接八个发光二极管,每个发光二极管分别作为八位选手的信号指示灯。并在各个外接电路上并接开关按键,按键另一端接地。发光二极管采用共阳极接法,由于P0口为高电平呈输入状态,当有按键按下时,P0口呈低电平与按键对应的发光二极管满足点亮条件点亮。在程序编程上采用查询,查询P0口P0。0到P0。7的八个端口呈低电平,即查询是哪个选手先按键,然后将选手号码的字节数据送至串行口输出并在数码管上显现出来。

2. 蜂鸣器是利用三极管处于开关状态是的导通与截止工作,在三极管导通时蜂鸣器工作,三极管截止时蜂鸣器不工作。三极管采用8550 PNP型基极接于P1。2口置其低电平时三极管导通,置其高电平时三极管截止。

3. 数码管采用共阳极七段显示,其内部发光二极管为共阳极接高电平,当对应发光二极管一端为低电平时发光二极管点亮,显示的数字或字符由送入的字节数据控制,字节数据的输出采用串形口工作模式0,8位串行字节数据的输出通过RXD端口送出,TXD端用于送出同步移位脉冲,作为外接器件的同步移位信号。数据的发送是在TI=0的情况下,由一写发送缓冲器的指令开始CPU执行完该指令,串行口即将8位数据从RXD端送出,同时TXD端发出同步移位脉冲。8位数据发送完毕后由硬件置位TI=1,通过查询TI位来确定是否发送完一组数据,TI=1表示发送缓冲器已空,当要发送下一组数据时用软件使TI清零,然后即可发送下一组数据。

4. 软件设计分析首先在程序的开始为选手设置了一段违规程序,该程序的作用是为了防止选手在主持人没有按下抢答键时,有的选手已经提前抢答了,本次抢答为无效抢答,并有报警和记录下该位选手的选号,做违规处理,如果选手超出了在规定的提前抢答次数,则该选手将被取消以后的抢答资格。如果在主持按下抢答键时再抢答,该次抢答被视为有效抢答,在主持按下回答问题的键时选手就可以在规定的时间内回答问题了

图1-2

1 选手查询程序:

ORG 0000H

START:CLR A

MOV A,#0FFH

MOV P0,A

LOP:JNB P2。4,LP

JNB P0。0,SA1

JNB P0。1,SA2

JNB P0。2,SA3

JNB P0。3,SA4

JNB P0。4,SA5

JNB P0。5,SA6

JNB P0。6,SA7

JNB P0。7,SA8

SJMP LOP

SA1:AJMP SB1

SA2:AJMP SB2

SA3:AJMP SB3

SA4:AJMP SB4

SA5:AJMP SB5

SA6:AJMP SB6

SA7:AJMP SB7

SA8:AJMP SB8

LP:MOV R0,#9

LOP1:LCALL LED

LCALL DEL

JNB P0。0,SIP1

JNB P0。1,SIP2

JNB P0。2,SIP3

JNB P0。3,SIP4

JNB P0。4,SIP5

JNB P0。5,SIP6

JNB P0。6,SIP7

JNB P0。7,SIP8

DEC R0

CJNE R0,#0,LOP1

MOV R0,#0

LCALL LED

LCALL DEL

SJMP LOP

SIP1:AJMP DIP1

SIP2:AJMP DIP2

SIP3:AJMP DIP3

SIP4:AJMP DIP4

SIP5:AJMP DIP5

SIP6:AJMP DIP6

SIP7:AJMP DIP7

SIP8:AJMP DIP8

SB1:MOV R2,#1

LCALL LED1

LCALL DE

SJMP LP1

SB2:MOV R2,#2

LCALL LED1

LCALL DE

SJMP LP1

SB3:MOV R2,#3

LCALL LED1

LCALL DE

SJMP LP1

SB4:MOV R2,#4

LCALL LED1

LCALL DE

SJMP LP1

SB5:MOV R2,#5

LCALL LED1

LCALL DE

SJMP LP1

SB6:MOV R2,#6

LCALL LED1

LCALL DE

SJMP LP1

SB7:MOV R2,#7

LCALL LED1

LCALL DE

SJMP LP1

SB8:MOV R2,#8

LCALL LED1

LCALL DE

SJMP LP1

LP1:JNB P2。4,LOP2

SJMP LP1

DIP1:MOV R2,#1

LCALL LED1

LCALL DE

SJMP LH1

DIP2:MOV R2,#2

LCALL LED1

LCALL DE

SJMP LH1

DIP3:MOV R2,#3

LCALL LED1

LCALL DE

SJMP LH1

DIP4:MOV R2,#4

LCALL LED1

LCALL DE

SJMP LH1

DIP5:MOV R2,#5

LCALL LED1

LCALL DE

SJMP LH1

DIP6:MOV R2,#6

LCALL LED1

LCALL DE

SJMP LH1

DIP7:MOV R2,#7

LCALL LED1

LCALL DE

SJMP LH1

DIP8:MOV R2,#8

LCALL LED1

LCALL DE

SJMP LH1

LH1:JNB P2。4,LOOP

SJMP LH1

LOP2:MOV A,#11H

MOV SBUF,A

JNB TI,$

CLR TI

LCALL DEL

AJMP LOP

2 串行输出程序:

该部分程序的设计利用了单片机的串行模式0输出,该输出方式占用IO口少。可以省去许多IO口作为功能的扩展使用。在该模式下,我们采用了输出查询的方式,就是要借助发送标志TI,当程序执行到发送标志位时,查询其标志位TI的值,只要TI的值是0程序就继续查询,知道查询到TI为1时才结束,然后在进入下一组数据的发送。由于串行输出时送进去的数都是十进制数,以致计算机不能识别,所以还要把送进去的十进制数转化成而进制数,这样才能输出。因此在输出程序前必须有拆字程序,把原来送进去的十进制数转化成二进制数,然后在输出并通过数码管显示出来。但是如果在显示选手选号与显示选手回答问题所用的到计同用一段串行输出程序时就会造成程序的混乱,所以在此处设计了两段初始值不同的显示程序,从而可能增加了程序的烦琐化。

LED1:MOV A,R2

MOV B,#10

DIV AB

MOV R1,A

MOV R3,B

MOV A,R1

MOV DPTR,#TAB

MOVC A,@A+DPTR

MOV SBUF,A

JNB TI,$

CLR TI

MOV A,R3

MOVC A,@A+DPTR

MOV SBUF,A

JNB TI,$

CLR TI

RET。

LED:MOV A,R0

MOV B,#10

DIV AB

MOV R1,A

MOV R3,B

MOV A,R1

MOV DPTR,#TAB

MOVC A,@A+DPTR

MOV SBUF,A

JNB TI,$

CLR TI

MOV A,R3

MOVC A,@A+DPTR

MOV SBUF,A

JNB TI,$

CLR TI

RET

DE:CLR P1。2

LCALL DEL01

SETB P1。2

LCALL DEL01

RET

TAB:DB 11H,0D7H,32H,92H,0D4H,98H,18H,0D3H,10H,90H

RET

END

3倒计时程序

该程序为选手回答问题时的30秒倒计时程序,其中前25秒为正常的倒计时,在后5秒倒计时时伴随有报警声,用于提示选手回答问题的剩余时间。如果该选手在正常的倒计时内没有完成问题的回答,那么倒计时将被清零。

LOOP:MOV R0,#30

LPP:LCALL LED

LCALL DEL

JNB P2。4,LOP2

DEC R0

CJNE R0,#5,LPP

MOV R0,#5

LPP1:JNB P2。4,LOP2

LCALL LED

LCALL DE

DEC R0

CJNE R0,#0,LPP1

MOV R0,#0

LCALL LED

LCALL DEL

LJMP START

4延时程序

该系统设计了两段延时程序,一段1秒延时,是为了30秒倒计时调用和程序中一秒延时所用;另一段为0。5秒延时,用于报警。程序的设计中报警时间为一秒,但是由于在硬件的设计时只设计了一个按键,这样就会造成连续按键时会使所设定的报警声不断的响,这是设计中不允许的,所以在软件编程时设计了一个0。5秒的延时,被报警时所调用,这样就使报警声能很清楚地区分出来了

DEL:MOV R6,#20 DEL01:MOV R6,#10

DEL1:MOV R5,#100 DEL11:MOV R5,#100

DEL2:MOV R4,#250 DEL21:MOV R4,#250

DJNZ R4,$ DJNZ R4,$

DJNZ R5,DEL2 DJNZ R5,DEL21

DJNZ R6,DEL1 DJNZ R6,DEL11

RET RET

5报警程序

该段程序主要是用于本系统中的所有报警使用,报警时间延时为1秒钟。

DE:CLR P1。2

LCALL DEL01

SETB P1。2

LCALL DEL01

RET

三、制作过程

×××××××××××

×××××××××××××

××××××××××××××

×××××××××××××××

××××××××××××××××

四、心得体会

×××××××××××××××

××××××××××××××××

×××××××××××××××××

五、参考文献

曾峰,巩海洪,曾波,电子工业出版社,印刷电路板(PCB)设计与制作 2005.8

梅海凤,王艳秋,张军,汪毓铎,清华大学出版社 单片机原理与接口技术 2004.2

北京交通大学出版社

跪求单片机课程设计 要完全呦

题 目:单片机课程设计报告

目 录

一、设计目的

二、程设计具体要求

三、单片机发展简史

四、8051单片机系统简介

五、8051单片机内部定时器/计数器简介

六、程序电路

七、程序流程

八、程序代码

九 实验总结-要求写出完整的论文以及心得体会

十 参考资料及小结

原 文 : 一.目的

1. 进一步熟悉和掌握8051单片机的结构及工作原理。

2. 掌握单片机的接口技术及相关外围芯片的外特性,控制方法。

3. 通过课程设计,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。

4. 通过实际程序设计和调试,逐步掌握模块化程序设计方法和调试技术。

5. 通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,为今后从事相应打下基础。

二.课程设计的体要求

a) 原理图设计。

1. 原理图设计要符合项目的工作原理,连线要正确,端了要不得有标号。

2. 图中所使用的元器件要合理选用,电阻,电容等器件的参数要正确标明。

3. 原理图要完整,CPU,外围器件,扩器接口,输入/输出装置要一应俱全。

b) 程序调计

1. 根据要求,将总体项能分解成若干个子功能模块,每个功能模块完成一个特定的功能。

2. 根据总体要求及分解的功能模块,确定各功能模块之间的关系,设直出完整的程序流程图。

c) 程序调试将设计完的程序输入,汇编,排除语法错误,生成*OBJ文件。

1. 按所设计的原理图,在实验平台上连线,检查无误。

2. 将汇编后生成的*OBJ文件传送到实验装置的,执行该程序,检查该程序、是否达到设计要求,若未达到,修改程序,直到达到要求为止,

d) 说明书

1. 原理图设计说明

简要说明设计目的,原理图中所使用的元器件功能及在图中的作用,各器件的工作过程及顺序。

2. 程序设计说明

对程序设计总体功能及结构进行说明,对各子模块的功能以及各子模块之间的关系作较详细的描述。

3. 画出工作原理图,程序流程图并给出程序清单。

目前,单片机已广泛应用到图民经济建设和日常生活的许多领域,成为测控技术现代化必不可少的重要工具。下面介绍一本单片机课程设计的好书,介绍了很多实例有兴趣者可以去买哦,价格不贵【图书目录】 - 8051单片机课程设计实训教材

第1章 绪论

1.1 课程设计所需硬件工具

1.2 专题制作所需软件使用工具

1.3 8051程序开发测试平台

1.4 使用免费汇编编译器

1.5 89CXX烧录模拟器操作实例

1.6 自制8051微电脑单板IO51

1.7 IO51操作实例

1.8 以Windows98 工作模式结合DOS模式来执行

第2章 8051单片机课程设计中的基本软硬件设计

2.1 8051各种基本的硬件设计

2.2 工作指示灯LED

2.3 8051延迟时间计算

2.4 基本按键设计

2.5 建立8051通信接口

2.6 简易8051调试界面

2.7 压电喇叭测试

2.8 键盘扫描

2.9 扫描控制七段显示器

2.10 LCD接口控制

2.11 8051定时器模式的工作

2.12 定时器模式0测试

2.13 定时器模式1测试

2.14 定时器模式2测试

2.15 以定时器产生各种频率的声音

2.16 以定时器演奏—段旋律

第3章 带单片机的LCD时钟

第4章 定时闹铃

第5章 定时闹铃LCD

第6章 音乐倒数定时器

第7章 密码锁控制

第8章 可存储式电子琴

第9章 8051八音盒

第10章 红外线遥控器研究

10.1 红外线遥控器动作原理

10.2 如何观察红外线遥控器信号

10.3 红外线遥控器译码功能说明

第11章 红外线家电遥控

第12章 8051伺服机控制

12.1 伺服机工作原理及改装

第1.3章 8051伺服车控制

13.1 功能说明

13.2 伺服车组装及实验

第14章 红外线遥控伺服车

14.1 功能说明

14.2 遥控伺服车组装及实验

14.3 控制电路

14.4 控制程序

第15章 无线电家电遥控

15.1 功能说明

15.2 遥控编码解码控制

第16章 8051声控设计

16.1 声控基本知识介绍

16.2 系统组成

16.3 声控模块介绍

16.4 基本控制电路

16.5 基本控制程序

16.6 声控课题设计

附录H 如何使用KEIL 8051开发系统汇编和编译程序及调试

附录I EPM89 890XX烧录模拟器特性

附录J 1051 8051 10控制板特性

附录K VCMM声控模块特性

附录L IO51控制板完整电路图

附录M 需要从网站下载的相关资料的使用说明

附录N 硬件接口板版权声明及如何订购

附录A 简易稳压电源制作

附录B 本书实验所需软硬件工具及零件

附录C 8051内部控制寄存器介绍

附录D 8051指令集

附录E 如何自制8051单板

附录F 课程设计报告参考内容

附录G IO51控制板窗口版驱动程序使用说明

单片机实训总结范文5篇

单片机实训课程,是农业工程类专业非常重要的专业技术课,是后续专业实践课的基础,大家做好实训 总结 ,总结更多的 经验 。下面是我给大家带来的单片机实训总结 范文 _单片机实训 工作总结 ,以供大家参考,我们一起来看看吧!

? ? ↓ ↓ 更多关于 “ 实 训总 结 ” 内容推荐 ↓ ↓

? ? ★ 单 片机实 训报 告 ★

? ? ★ 单 片 机实 训心得 体 会 ★

? ? ★ 实 训总 结报 告范 文 ★

? ? ★ 实 训总 结与心 得 体 会 ★

? ? ★ 实 习实训总 结报 告 ★

▼ 单片机实训总结范文篇一:

通过今次单片机实训,使我对单片机的认识有了更深刻的理解。系统以51单片机为核心部件,利用汇编软件编程,通过键盘控制和数码管显示实现了基本时钟显示功能、时间调节功能,能实现本设计题目的基本要求和发挥部分。

由于时间有限和本身知识水平的限制,本系统还存在一些不够完善的地方,要作为实际应用还有一些具体细节问题需要解决。例如:不能实现只用两个按键来控制时钟时间,还不能实现闹钟等扩展功能。

踉踉跄跄地忙碌了两周,我的时钟程序终于编译成功。当看着自己的程序,自己成天相伴的系统能够健康的运行,真是莫大的幸福和欣慰。我相信其中的酸甜苦辣最终都会化为甜美的甘泉。

但在这次实训中同时使我对汇编语言有了更深的认识。当我第一次接触汇编语言就感觉很难,特别是今次实训要用到汇编语言,尽管困难重重,可我们还是克服了。这次的实训使培养了我们严肃认真的做事作风,增强了我们之间的团队合作能力,使我们认识到了团队合作精神的重要性。

这次实训的经历也会使我终身受益,我感受到这次实训是要真真正正用心去做的一件事情,是真正的自己学习的过程和研究的过程,没有学习就不可能有研究的能力,没有自己的研究,就不会有所突破。希望这次的经历能让我在以后学习中激励我继续进步。

▼ 单片机实训总结范文篇二:

通过这一个学期的单片机学习,我收获了很多关于单片机的知识,并且这些知识和日常的生活息息相关。了解了一些简单程序的录入,LED 显示器 、键盘、和显示器的应用和原理。

LED显示器:LED显示器是由发光二管组成显示字段的器件。通常的8段LED显示器是由8个发光二极管组成,LED显示器分共阳极和共阴极两种。有段选码和和位选码。当LED显示器每段的平均电流位5MA时,就有较满意的亮度,一般选择断码5-10MA电流;位线的电流应选择40-80MA。LED显示器的显示方式有动态和静态两种。7289A芯片是具有SPI串行接口功能的显示键盘控制芯片,它可同时取得8位共阴极数码管和64个键的键盘矩阵。7289A的控制指令分为两类:8位宽度的单字节指令和16位宽度双字节指令;还有闪烁指令和消隐指令。7289A采用串行方式SPI总线与微处理器通信;7289A与AT89C52接口电路,在实际电路中无论接不接键盘,电路中连接到其各段上的8个 100千欧的下拉电阻均不可以省去,如果不接键盘而只接显示器可以省去8个10千欧电阻,若仅接键盘而不接显示器,可省去串入DP及SA-SG连线的8个220欧电阻,7289A还需要外接晶体振荡电路。液晶显示器简称LCD,其显示原理是用经过处后的液晶具有能改变光线传输方向的特性,达到显示字符和图形的目的。最简单的笔段式液晶显示器类似于LCD显示器,可以显示简单的字符和数字,而目前大量使用的是点阵式LCD显示器,既可以显示字符和数字也可以显示汉字和图形。如果把LCD显示屏、背光可变电源、接口控制逻辑、驱动集成芯片等部件构成一个整体,是的与CPU接口十分方便。

键盘:键盘是最常见的计算机输入设备,它广泛应用于微型计算机和各种终端设备上。计算机操作者通过键盘向计算机输入各种指令、数据,指挥计算机的工作。按照键盘的工作原理和按键方式的不同,可以划分为四种:机械式键盘采用类似金属接触式开关,工作原理是使触点导通或断开,具有工艺简单、噪音大、易维护的特点。 塑料薄膜式键盘键盘内部共分四层,实现了无机械磨损。其特点是低价格、低噪音和低成本,已占领市场绝大部分份额。 导电橡胶式键盘触点的结构是通过导电橡胶相连。键盘内部有一层凸起带电的导电橡胶,每个按键都对应一个凸起,按下时把下面的触点接通。这种类型键盘是市场由机械键盘向薄膜键盘的过渡产品。 无接点静电电容式键盘使用类似电容式开关的原理,通过按键时改变电极间的距离引起电容容量改变从而驱动编码器。特点是无磨损且密封性较好。

按照按键方式的不同键盘可分为接触式和无触点式两类。接触式键盘就是我们通常所说的机械式键盘,它又分为普通触点式和干簧式。普通触点式的两个触点直接接触,从而使电路闭合,产生信号;而干簧式键盘则是在触点间加装磁铁,当键按下时,依靠磁力使触点接触,电路闭合。与普通触点式键盘相比,干簧式键盘具有响应速度快、使用寿命长、触点不易氧化等优点。无触点式键盘又分为电容式、霍尔式和触摸式三种。其中电容式是我们最常用到的键盘类型,它的触点之间并非直接接触,而是当按键按下时,在触点之间形成两个串联的平板电容,从而使脉冲信号通过,其效果与接触式是等同的。电容式键盘击键时无噪声,响应速度快,但是价格很高一些。

显示器:按照显示器的显示管分类CRT、LCD。按显示色彩分类单色显示器、彩色显示器。按大小分类通常有14寸、15寸、17寸和19寸,或者更大。显示管的屏幕上涂有一层荧光粉,电子枪发射出的电子击打在屏幕上,使被击打位置的荧光粉发光,从而产生了图像,每一个发光点又由“红”“绿”“蓝”三个小的发光点组成,这个发光点也就是一个象素。由于电子束是分为三条的,它们分别射向屏幕上的这三种不同的发光小点,从而在屏幕上出现绚丽多彩的画面。显示器显示画面是由显示卡来控制的。若仔细观察显示器上的文本或图像是由点组成的,屏幕上点越多越密,则分辨率越高。

屏幕上相邻两个同色点的距离称为点距,常见点距规格有0.31mm、0.28mm、0.25mm等。显示器点距越小,在高分辨率下越容易取得清晰的显示效果。电子束采用光栅扫描方式,从屏幕左上角一点开始,向右逐点进行扫描,形成一条水平线;到达最右端后,又回到下一条水平线的左端,重复上面的过程;当电子束完成右下角一点的扫描后,形成一帧。此后,电子束又回到左上方起点,开始下一帧的扫描。这种 方法 也就是常说的逐行扫描显示。而隔行扫描指电子束在扫描时每隔一行扫一线,完成一屏后再返回来扫描剩下的线,这与电视机的原理一样。隔行扫描的显示器比逐行扫描闪烁得更厉害,也会让使用者的眼睛更疲劳。完成一帧所花时间的倒数叫垂直扫描频率,也叫刷新频率,比如60Hz、75Hz等。

通过这几天的单片机的实训,我在理论的基础上更深刻的掌握了单片机的深层内容及实际生活中的应用,实训锻炼了自己动手能力和思维能力,还有在软件方面的编程能力,让我受益匪浅,同时也暴露出一些平时学习上的问题,让我深刻 反思 。这些问题的发现将为我以后的学习和工作找明道路,查漏补缺为进一步学习作好准备。通过实训,让我懂得了如何编写一些简单的程序,学会了如何制作单片机应用程序,并且可以在今后的日常生活中灵活运用。

▼ 单片机实训总结范文篇三:

一 实习目的

1. 通过对单片机小系统的设计、焊接、装配,掌握电路原理图及电子线路的基本焊接装配工艺、规范及注意事项;

2. 通过对系统板的测试,了解系统板的工作原理及性能,掌握元器件及系统故障的排除方法;

3. 掌握程序编制及调试方法,完成系统初始化、存储器操作、端口操作、键盘显示等程序的编制及调试(汇编语言、C语言均可);

4. 通过单片机系统的组装,调试以及程序编制、调试及运行,与理论及实验的有机结合和指导教师的补充介绍,使学生掌握控制系统的工作原理、开发方法和操作方法。

5. 培养学生解决实际问题的能力,提高对理论知识的感性认识。

二 实习意义

通过本实习不但可以掌握单片机软、硬件的综合调试方法,而且可以熟练掌握电路原理图,激发对单片机智能性的探索精神,提高学生的综合素质,培养学生应用单片机实现对工业控制系统的设计、开发与调试的能力。在制作学习过程中,不但可以掌握软、硬件的综合调试方法,而且可以使学生对单片机智能性产生强烈的欲望。达到最大限度地掌握微机应用技术,软件及接口设计和数据采集与处理的技能,培养电综合实践素质的目的。

三 系统基本组成及工作原理

1 系统基本组成

系统以单片机STC89C52作为控制核心,各部分基本组成框图如图1所示。

流水灯部分由单片机、键盘模块等组成;

四位数码显示,编程实现30秒倒计时部分由单片机、键盘模块、液晶显示模块等组成;

按键功能部分通过按键控制流水灯部分、四位数码显示部分;

电子钟部分由单片机、键盘模块、液晶显示模块等组成;

使用功能键实现相应的功能组合部分通过流水灯部分、30秒倒计时部分实现;

模数转换部分由单片机、ADC0809转换模块、键盘模块、液晶显示模块等组成。

2 系统工作原理

本设计采用STC89C52RC单片机作为本系统的控制模块。单片机可把由ADC0809及单片机中的数据利用软件来进行处理,从而把数据传输到显示模块,实现阻值大小的显示。以数码管显示为显示模块,把单片机传来的数据显示出来。在显示电路中,主要靠按键来实现各种显示要求的选择与切换。

对于模数转换部分,单片机89C51通过P0口的I/O线向ADC0809发送锁存地址以及复位、启动转换等信号,并查询转换状态。 ADC0809启动转换后,将0-8个通道一次输入的电压信号转换成相应的数字量,供89c51读取使用,并且将EOC置1供单片机查询转换状态。而滑动变阻器负责将阻值信号转换成电压信号,再送到ADC0809的八个通道。当单片机查询到转换结束后依次读取数据并按照现实的需要进行二进制转BCD码等处理最后控制显示电路显示出数字。 其实现方式是:ADC0809转换来自3通道的阻值变化信号。80c51的P2口与ADC0809的输出相连用于读取转换结果,同时P0.0-P0.6作控制总线,向ADC0809发送锁存、启动等控制信息,并查询EOC状态。ALE经分频后给ADC0809提供时钟信号。P3.0和P3.1口用于向显示电路输出段码,P3.2-P3.7用于数码管的位选。

四 系统硬件设计

结合本设计的要求和技术指标,通过对系统大致程序量的估计和系统工作的估计,考虑价格因素。选定AT89C51单片机作为系统的主要控制芯片,8位模拟转换器ADC0809进行阻值转换。 逐次比较法A/D转换器是目前种类最多、应用最广的A/D转换器,其原理即“逐位比较”,其过程类似于用砝码在天平上称物体重量。它由N位寄存器、A/D转换器、比较器和控制逻辑等部分组成,N位寄存器代表N位二进制码。目前应用最广的逐次比较法A/D转换器有ADC0809。它是一种8路模拟输入8位数字输出的逐次比较法A/D转换器件。其主要性能指标和特性如下:

分表率:8位

转换时间:取决于芯片时钟频率,转换一次时间位64个时钟周期

单一电源:+5v

模拟输入电压范围:单极性0-+5v;双极性-5v-+5v

具有可控三态输出锁存器

启动转换控制位脉冲式,上升沿使内部所有寄存器清零,下降沿使A/D转换开始。

通过以上性能比较,我们不难看出ADC0809满足本设计的要求,所以本设计采用ADC0809作为A/D转换器

1 按键电路设计

利用单片机的P1口扩展一个8位键盘。

2 晶振与复位电路设计

本设计采用的是上电复位的形式,如图3.3所示,上电顺进RST获得高电平,随着电容器C的充电,RST引脚上的高电平将逐渐下降,只要高电平能保持复位所需要的两个机器周期以上时间,单片机就能实现复位操作。 晶振电路为单片机提供工作所需要的时钟信号。震荡频率越高,系统时钟频率也越高,单片机运行的速度就越快。其电路如图3.4所示。89C51的_TAL1和_TAL2两个引脚跨接晶体振荡器和微调电容C1、C2形成反馈电路,就构成了稳定的自激振荡器,本设计的震荡器频率为12MHZ。

3 下载电路设计

4 流水灯模块设计

5 模数转换模块设计

6 显示电路设计

本设计采用六位数码管。本系统采用共阳极动态扫描的方式连接。数码管的段码数据由89C51的P3.0-P3.1口送出,89C51的P3.2-P3.7输出位选通信号,只有被选中的那位数码管才会显示段码

7 整体电路设计

五 系统软件设计

1主程序设计

主程序采用分支结构,以状态号标识系统所处的状态。在上电初始化后即进入状态号的轮询扫描,状态号的值决定了分支程序的入口。其中分支程序分别为:AD转换模块(状态号为0),数字模块状态号为1),倒计时模块(状态号为2),电子钟模块(状态号为3),功能组合模块(状态号为4),流水灯模块(状态号为5)。

2 功能子程序设计

2.1 流水灯模块

流水灯模块利用单片机的P3口,通过给P3口的各位送低电平,相应的实现流水灯有规律的点亮。

2.2 30秒倒计时模块

30秒倒计时模块利用单片机的P3.0与P3.1口送相应的段控数据,P3.2-P3.7口送相应的位控数据。通过程序实现30秒倒计时。

2.3 数字加减模块

利用数码管实现数字显示,通过加一键或者是减一键实现数字变量的加一或者减一,进而实现利用数码管显示加一键、减一键功能。

2.4 电子钟模块

利用数码管实现时间显示,通过加一键或者是减一键实现小时变量或者是分钟变量的加一,从而实现调时功能。

2.5 模数转换模块

对于模数转换部分,单片机89C51通过P0口的I/O线向ADC0809发送锁存地址以及复位、启动转换等信号,并查询转换状态。 ADC0809启动转换后,将0-8个通道一次输入的电压信号转换成相应的数字量,供89c51读取使用,并且将EOC置1供单片机查询转换状态。而滑动变阻器负责将阻值信号转换成电压信号,再送到ADC0809的八个通道。当单片机查询到转换结束后依次读取数据并按照现实的需要进行二进制转BCD码等处理最后控制显示电路显示出数字。 其实现方式是:ADC0809转换来自3通道的阻值变化信号。80c51的P2口与ADC0809的输出相连用于读取转换结果,同时P0.0-P0.6作控制总线,向ADC0809发送锁存、启动等控制信息,并查询EOC状态。ALE经分频后给ADC0809提供时钟信号。P3.0和P3.1口用于向显示电路输出段码,P3.2-P3.7用于数码管的位选。

六 实习总结 、体会

本次单片机实习我们一共完成了个模块的程序设计,包括:led显示模块、数码管显示模块和键盘模块。分别实现了流水灯的循环点亮控制、数码管的静态和动态计数显示,还有矩阵键盘按键控制数码管显示的程序设计。然后我们分别用protues系统仿真软件对各个模块进行了模拟仿真,用keil软件编制了汇编语言程序,验证了我们所设计的程序。 这次实习还使我理解了编写程序的一些技巧。单片机应用系统一般由包含多个模块的主程序和由各种子程序组成。每一模块都要完成一个明确的任务,实现某个具体的功能,如计算、接受、发送、延时、显示等。采用模块化程序设计方法,就是将这些具体功能程序进行独立设计和分别调试,最后将这些模块程序装配成整体程序并进行联合调试。模块化程序设计方法的优点:一个模块可以为多个程序所共享;单个功能明确的程序模块的设计和调试比较方便,容易完成;利用已经编好的成熟模块,将大大缩短开发程序的时间,降低开发成本。采用循环结构和子程序结构可以使程序的容量大大减少,提高程序的效率,节省内存。对于多重循环,要注意各重循环的初值和循环结束的条件,避免出现程序无休止循环的“死循环”现象; 通过这次的实习我发现,只有理论水平提高了,才能够将课本知识与实践相结合,理论知识服务于教学实践,以增强自己的动手能力。这次实习十分有意义,这次实习我们知道了理论和实践的距离,也知道了理论和实践相结合的重要性。 回顾起此次课程设计,感觉受益匪浅,从拿到题目到完成整个编程,从理论到实践,学到很多很多的课堂理论中没学到过的东西,不仅对键盘的识别技术这一章节的知识点有了深刻的认识,而且对这学期开设的单片机这门课程有了更全面的了解,尤其是在学习使用proteus软件片编程和仿真时收获良多。通过这次单片机课程设计,还使我懂得了实践的重要性。同时在程序调试的过程中提高自己的发现问题、解决问题、实际动手和独立思考的能力。 这次课程设计能顺利的完成,除了我们的努力外,当然也离不开指导老师申老师的辛勤指导,致使我在设计的过程中学到了很多实用性的知识。同时,对给过我帮助的所有同学和各位指导老师表示忠心的感谢!

▼ 单片机实训总结范文篇四:

通过为期一周的单片机实训,是我们对这门课有了许多新的了解,弥补了在课堂上学习的不足。相信这对我们以后的学习和工作都会有很大的帮助。我们一定要在最短的时间里对这些不足加以改正!

首先,在这次试训中我被单片机强大的功能所震撼,以前在课堂上完全没有能理解可编程单片机的优越性。这次通过实体仿真软件等辅助软件的共同效果,是这次试训有了鲜明的活力。换是我们认识到这次试训不仅仅是一个软件的应用,更多的是使我们认识到学习到很多在课堂上无法得到的东西。特别是protues软件的功能是我们了解了当今开发系统的新方向,简直太不可思议啦!

单片机作为一种最简单的软件,与我们的日常生活息息相关,了解一些单片机程序的简单录入是非常必要的。如:LED显示器、键盘和显示器的应用和原理。

在被刺实训中我们每个人通过一个八位流水灯的制作,使我们深深地体会到了单片机在现实生活中的小小应用,既增强了我们的好奇心,又巩固了我们的理论知识。更让我们体会到了单片机手动的开始平台的完善与成熟。只要你有想法,单片机就有可能让他成为现实。这里我学习完protues软件后的第一感觉是,虽然这软件工作不稳定,但是会有相当不错的效果出来。这对我以后的工作一定会有帮助的。在这次试训中不仅只对单片机编程有了新的认识,还对整个单片机的开发平台都有了一厅的了解,这是一笔不错的收获。

通过这几天的试训,使我的感触很深,真实“条条大路通罗马”,要达到目的,不同的人就有不同的方法。只要你的方法不错!五花八门都可以,而且是各有特色。走出来的结果都有各自的独到之处。在编程中“简”字贯穿于整个程序设计中,越简单越好,毕竟单片机留给用户的资源是有限的,所以我们要充分利用这些资源,达到更好的效果,这些是我们在以后的学习生活中应值得注意的地方。

在试训中有苦有甜,当我们为一个很难攻破的程序找出路时,心情烦躁,感觉自己很不可理喻,当程序一点一点编好后,自己从心底感觉到一点小小的安慰,看着自己的成果。感觉很欣慰,有一丝丝的甜意,几天的实训使自己的思维逻辑也有了小小的进步。

▼ 单片机实训总结范文篇五:

这是我第一次做单片机实验,说起来有一些紧张和新奇。在此之前我并没有接触过单片机,我本以为与之前的光学实验及 其它 实验差不多,可我进到实验室之后,我就改变了这个看法。

单片机实验要求的是一种思维的创新,而不是简单的重复老师所说的实验步骤。因此第一次实验,实验老师向我们讲解了CVAVR编译器的大体情况及使用方法和技巧,并简单的向我们示例——如何在CVAVR中编写一段程序。编完程序之后,知道我们如何使用AVRStudio达到将编好的程序输入到单片机中来使其运行。

之后老师让我们以组为单位合作编写一段程序,并使其运行。我们组想要编写一个跑马灯的程序。在第一次运行CVAVR时,我们组就遇到了一个麻烦,我们在建立一个新project文件那里出现了错误。在保存这个新project文件的时候,我们单击了Save,而不是Generate,SaveandE_it.因此它弹不出我们我们所需要的源程序。之后我们通过询问其他人解决了这个问题。在编程中,我们开始做的是两盏灯的交替闪烁,间隔时间是1000ms。在运用AVRStudio的时候,我们又犯了一个错误。在我们打开编译好的工程文件时,开始调试,在最后一步点击Finish时,弹出一对话框,问我们是否更新,然后我们单击了Yes。这导致了仿真器无法下次使用。这是由于实验室中的仿真器是盗版的,无法进行更新。

经过我们的不断努力,我们终于实现了简单的跑马灯的运行,便是两个灯地交替闪烁。我们感到兴奋极了。但是我们并没有满足于当前,我们又编写了三个灯地交替闪烁,四个及多个。当我们一步步实现我们的目的时,我得到莫大成就感和自信。

在这次实验中,我体会到了合作的重要性。一个人也可能实现这一系列的过程,但是要花费很多精力和时间。群策群力,分工明确,可以使我们更好、更快地完成我们的工作。在此期间,你可以更好知道自己的不足和缺陷,来得到改正。还可以知道自己的优势所在,把握好自己的优势。

单片机实训总结相关 文章 :

★ 单片机实训报告范文精选5篇

★ 单片机实训总结范文3篇

★ 单片机实验心得体会范文

★ 单片机实训报告范文

★ 单片机实训工作报告范文精选

★ 单片机实习报告范文

★ 单片机实验的心得体会

★ 单片机课程设计心得体会3篇

★ 实验总结5篇精选

★ 单片机设计的心得体会

var _hmt = _hmt || []; (function() { var hm = document.createElement("script"); hm.src = ""; var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(hm, s); })();

抢答器课程设计实验总结怎么写

兰州理工大学技术工程学院

课程设计任务书

课程名称: 电子技术课程设计

题 目: 智力竞赛抢答器

专业班级:

学生姓名:

学 号:

指导老师:

审 批:

任务书下达日期 2009年 12 月 28日 星期一

设计完成日期 2010年 1 月 8 日 星期五

设计内容与设计要求

一、设计内容:

1.设计一个可容纳8组代表队参赛的智力抢答器,每组设一个抢答按钮,按钮的编号与选手的编号相对应。

2. 抢答器具有第一信号鉴别及数据锁存功能。主持人将设备复位(清零)后,发出抢答指令,当第一组参赛者触动按钮时,该组指示灯亮。此后,其他组别触动按钮无效。

3. 设计一个用数码管显示1~8组中最先抢答组别的电路。

4. 抢答器具有定时30S抢答的功能,当主持人发出抢答指令后开始减计时,并用显示器显示时间。当抢答时间到,蜂鸣器鸣叫发出报警信号,并封锁输入电路,禁止选手超时抢答。

5.设计一个犯规判别电路,并用指示灯显示。

6.设置记分显示电路,每组预置100分,答对1次加10分,答错1次减10分。

7.功能扩展(自选)

二、设计要求:

1.思路清晰,给出整体设计框图和总电路图;

2.单元电路设计,给出具体设计思路和电路;

3.写出设计报告;

主要设计条件

1. 在实验楼南楼的四楼“综合实验室”和“电子实验室”调试。

2. 提供调试用实验箱和电路所需元件及芯片。

说明书格式

1. 课程设计封面;

2. 任务书;

3. 说明书目录;

4. 设计总体思路,基本原理和框图(总电路图);

5. 单元电路设计(各单元电路图);

6. 安装、调试步骤;

7. 故障分析与电路改进;

8. 总结与体会;

9. 附录(元器件清单);

10. 参考文献;

11.课程设计成绩评分表

目录

1 绪论 6

2 设计方案 7

2.1 设计方案和要求 7

2.2 设计思想和原理 8

2.3 单元电路的设计 8

(1)抢答器部分电路设计 8

(2)定时电路设计 9

(3)报警电路设计 11

(4)计分电路设计 11

2.4 总体设计 12

3 EWB仿真 15

4故障分析与电路改进 15

5部分重要原件引脚图及其功能表 18

6心得体会 20

7附录 22

参考文献 22

1 绪论

智力竞赛是一种生动活泼的教育方式,而抢答就是智力竞赛中非常常见的一种答题方式。抢答能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。但是,在这类比赛中,对于谁先谁后抢答,在何时抢答,如何计算答题时间等等问题,若是仅凭主持人的主观判断,就很容易出现误判。所以,我们就需要一种具备自动锁存,置位,清零等功能智能抢答器来解决这些问题。

在本次课程设计中,将主要设计一个供八人使用的定时抢答器。他要实现以下主要功能:(1)为8位参赛选手各提供一个抢答按钮,分别编号S0、S1、S2、S3、S4、S5、S6、S7;(2)主持人可以控制系统的清零与抢答开始;(3)抢答器要有数据锁存与显示的功能。抢答开始后,若有任何一名选手按动抢答按钮,则要显示其编号至系统被主持人清零,并且扬声器发生提示,同时其他人再按对应按钮无效;(4)抢答器要有自动定时功能,并且一次抢答时间由主持人任意设定。当主持人启动“开始”键后,定时器自动减计时,并在显示器上显示。同时扬声器上发出短暂声响;(5)参赛选手只有在设定时间内抢答方为有效抢答。若抢答有效,则定时器停止工作,并且显示抢答开始时间直到系统被清零;(6)若设定时间内无选手进行抢答(按对应按钮),则系统短暂报警,并且禁止选手超时抢答,定时器上显示00数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。

利用本次设计出的电路制造成的定时抢答器,即可轻松实现在8人或8个代表队之间进行的抢答比赛中进行控制,使得这一活动更加趣味、公平。

2 设计方案

2.1 设计方案和要求

1.给定的主要器件:74ls148 74ls138 74ls192 555 发光二极管 显示器

2功能要求:设计一个智力竞赛抢答球,可同时共8个选手参加比赛,并具有定时抢答功能。具体功能要求如下:

基本功能:

(1) 设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的选号分别是s0,s1,s2,s3,s4,s5,s6,s7。

(2) 给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。

(3) 抢答器具有数据锁存和显示功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在led数码管上显示选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止

(4) 抢答器具有定时30S抢答的功能,当主持人发出抢答指令后开始减计时,并用显示器显示时间。当抢答时间到,蜂鸣器鸣叫发出报警信号,并封锁输入电路,禁止选手超时抢答。

(5) 设计一个犯规判别电路,并用指示灯显示。

(6) 设置记分显示电路,每组预置100分,答对1次加10分,答错1次减10分。

(7) 功能扩展(自选)

2.2设计思想和原理

多路智力抢答器的组成框

该设计抢答器的电路主要是由抢答电路,触发电路,触发锁存电路,七段显示译码器几部分构成。

工作原理:通电后,主持人将开关拨到“清零状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间:主持人将开关置“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时抢答。选手在定时时间内抢答时,抢答器完成:优先判断,编号锁存,编号显示,扬声器提示。当一轮抢答之后,定时器停止,禁止二次抢答,定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始:状态开关

2.3单元电路的设计

(1)抢答器电路的设计

该部分主要完成两个功能:一是分辨选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。选用优先编码器74ls148和RS锁存器可以完成上述功能,所组成的电路图如下所示。这个电路的工作原理过程:当主持人控制开关s置于“清零”

端时,RS触发器的R非端均为0,4个触发器输出(Q4--Q1)全部置0,使74ls148的BI的非=0,显示器灯灭:74ls148的选通输入端ST的非=0,使之处于工作状态,此时锁存电路不工作。当主持人把开关S置于“开始”时,优先编码器和锁存电路同时处于工作状态,即抢答器处于等待工作状态,等待输入端的信号,当有选手将键按下时(比如按下s5),74ls148的输出Y2Y1Y0的非=010,YEX的非=0,经RS锁存后,CTR=1,BI的非=1,经74ls148译码后,显示器显示为“5”。此外,CRT=1,使74ls148的ST的非为高电平,封锁其他按键的输入。如果再次抢答需有主持人将S开关重新“清除”,电路复位。

(2)定时电路的设计

节目主持人可根据抢答题的难以程度,来设定某一次抢答的时间,通过

置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用可由两片十进制同步加减计数器74Ls192、译码器7448、气短数码显示管来进行设计。其中,两块74LS192实现减法计数,通过译码电路74LS48显示到数码管上,其时钟信号由时钟产生电路提供。74192的预置数控制端实现预置数,由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。按键弹起后,计数器开始减法计数工作,并将时间显示在共阴极七段数码显示管上,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时, 输出低电平到时序控制电路,控制报警电路报警,同时以后选手抢答无效。

74LS192是同步十进制可逆计数器,具备双时钟输入,同时具备清零和置位功能。其管脚图如图所示:

(3)报警电路的设计

这部分电路我们是由555构成多谐振荡器,振荡频率fo=1.43/【(R1+2R)C】,其输出信号经三极管推动扬声器。PR为控制信号,当PR为谐振荡器工作;而当PR为低电平时,电路停振。

2.4 总体电路设计和电路图

经过以上分析,我们将各部分电路连接,并加以适当控制,即得到了八位定时抢答器的总体电路图。如图11所示:

其工作原理是:

八位选手编号分别为0、1、2、3、4、5、6、7,对应按钮分别为S0、S1、S2、

S3、S4、S5、S6、S7;

首先主持人根据题目的难易程度设置抢答时间,此设定可以通过调节输入两片74LS192的D、C、B、A四个管脚的高低电平来进行(例如要设定时间为60s,就将十位的192的D、C、B、A分别置位为0、1、1、0,而将各位的192的D、C、B、A都置于0)。当主持人宣读完题目说“开始”并将开关置于“开始”位置后,输出为高电平,此高电平分为三路:一路输出到集成单稳态触发器74LS121的输入端,使其产生单个周期为0.5S的脉冲,驱动报警电路发出声响,即实现了发声提示的功能;另一路输出到74LS192的LD端,使其处于高电平而开始减 计数;还有一路输出到锁存器的C端;

若没有选手安东按钮,则74LS373输出全为高电平,74LS148也输出高电平,E0端输出低电平至7448的灭灯输入RI/RBO端,使得信号经7448到显示器 上时无显示;

当任意一路(设1)抢答器按下按钮时,八D锁存器74LS373工作,与输入端相对应的输出端(1)输出高电平,则锁存器输出的八位电平经8~3八位优先编码器74LS148编码输出的A0~A2成为与输入信号相对应的三位二进制码,而74LS148的管脚15(E0)的输出电平由低变高,输出到七段译码显示器74LS48的二进制码经其译码后输出到七段共阴数码管上,则显示器上显示对应的编号(1)。此时,7448的RI/RBO端输出高电平,开关出也输出高电平,二者经过与非门输出低电平,经过与门还是低电平输出到锁存器373的C端,起到所存功能,其他选手若再按动对应按钮也无对应输出,,即实现了抢答功能;

同时,由于74LS148的E0段输出高电平输出到集成单稳态触发器74LS121的输入端,使其产生单个周期为0.5S的脉冲,驱动报警电路发出声响,即实现了发声提示的功能;

同时,74LS148的GS端输出电平由高变低,与秒脉冲发生器产生的秒脉冲相与后输出为0,使得无脉冲抵达计数器192的Down端。计数器停止工作,保持原来显示不变,即实现了暂停减计数使其记录抢答时间的功能;

当选手回答完问题后,主持人将开关置于“清零”的位置,输出低电平,也是分为三路:一路与74148的E0端(高)与非后变为高电平输出到373的使能端C,使得锁存器不再锁存数据,此时,抢答部分显示器灭灯无显示,实现了清零;

另一路低电平输出到计数器192的LD端,而CR端也是低电平,所以使得对应显示器输出预置的数据;

若在定时部分计数器倒计时到00还无选手按动按钮的话,两片74LS192的借位输出端都输出高电平,二者相与后输出高电平到单稳态触发器74121的B端口,使其产生周期为0.5s的脉冲刺激报警电路发声提示

计分电路图

3 EWB仿真

按照总体电路图在仿真软件EWB上一一选择芯片并进行连接,然后启动开关观察。

当仿真结果和预期一样,则证明仿真成功。设计的电路是正确的。

4故障分析与电路改进

1. 显示器上不显示数字,我们从后级往前级进行测试,首先用1.5~2V的电压作用各个笔段,看对应各笔段是否亮,判断是否完好。若完好则继续检测74ls148芯片是否完好。在74ls148的A、B、C、D四个输入端随意输入一组二进制数码(用高低电平表示1和0,此处注意要用到8V以上的电源电压),看是否能显示数字。无显示的故障一般问题出在这两个环节。

2. 若显示器上显示的是不符合要求的数字,在设计原理正确的前提下,首先通过测试判断74ls148的输出a~g与LED管的a~g笔段是否连接有错。其方法是74ls148的输出a~g分别按规律输入高低电平,观察LED管是否显示相应的数字。如果这个环节正常,则问题在二极管编码电路,再逐一进行检查。

3. 如果不能锁存,或是锁存不了1和7,则问题在锁存电路,应该从原理上进行分析。锁存电路的设计原理是:启用CD4511的锁存功能端LE,高电平有效,即输入高电平时执行锁存功能。锁存器应能锁定第一个抢答信号,并拒绝后面抢答信号的干扰。如何设计呢,我们对0~9十个数字的显示笔段进行分析,只有0数字的d笔段亮与g笔段灭,其它数字至少有一点不成立。由此可以区分0与其它数字。我们将LED管的a笔段与g笔段的输入信号反馈到锁存电路,通过锁存电路控制锁存端LE输入为0或1(锁存与否)。当LED显示器显示为0时,LE=0,CD4511译码芯片不锁存;当LED显示器显示其它数字时, LE=1,芯片锁存。这样只要显示器上显示为0,74ls148译码芯片才不锁定,显示其它数字均锁存。所以只要有选手按了按键,显示器上一定是显示1~8的数字,LE=1芯片锁存,之后任何其他选手再按下按键均不起作用。例如SB1键先按下,显示器上显示1,LE=1芯片锁存,其他选手再按SB2~SB8,显示器上仍显示1,SB1按下之后的任一按键信号均不显示。直到主持人按清零键SB9,显示器上又显示0,LE=0,锁存功能解除,又开始新一轮的抢答。

若所有的数字都不能锁存,说明不管LED显示什么数字,74ls148管脚的5脚输入为电平,可能是5脚与地短接或者是锁存电路的两个二极管VD13和VD14断开等故障;若只有1和7两个数字不显示则可以分析一下其原因:显示1和7数字时g段不亮,74ls148的g输出端为低电平,VD14截止,而b段亮d段不亮本应该三极管VT截止而使VT13导通,产生高电平(锁存信号)给LE,现在不能锁存说明VD13截止,推断是三极管击穿损坏。

4. 在测试的过程中我们一定要注意,高低电平的测试电压数值要针对不同的电路而选取不同的数值。比如,针对LED管,高电平只能用1.5~2V,而在CD4511的输入端高电平要用到8V以上的电源电压。选高了,会烧管子;选低了,会看不到效果,甚至产生误判断。

5. 判断PNP型和MPN型晶体管:用万用表的R×1k(或者R×100)档。用黑表笔接晶体管的某一个管脚,用红表笔分别接其它两脚。如果表 针指示的两个阻值都很大,那么黑表笔接晶体管的某一个管脚,用红表笔接其它两脚。如果表针指示的两个阻值都很大,那么黑表笔所 接的那一个管脚是PNP型的基极,如果表针指示的两个阻值都很小,那么黑表笔所接的那个一个管脚是NPN型的基极;如果表针指示的阻 值一个很大,一个很小,那么黑表笔所接的那一个管脚不是基极。这就要另换一个管脚来试。以上方法,不但可以判断基极,而且可以 判断是PNP型还是NPN型晶体管。

判断基极后就可以进一步判断集电极和发射极。先假定一个管脚是集电极,另一个管脚是发射极。然后反过来,把原先假定的管脚对调一下,再估测β值,其中,β值大的那次的假定是对的。这样就把集电极个发射极也判

5部分重要原件引脚图及其功能表

(1)74ls148

管脚图

(2)74ls192

管脚图

功能表

(3)555

管脚图

6 总结与体会

转眼间两周数字电子课程设计转眼就结束了,通过这次课程设计,我学会了许多课本上学不到的东西,同时也加强了我的动手、思考和解决问题的能力,受益匪浅。

通过杨老师的讲课,杨老师从整体上给我们说明了设计的大体思路,每一步该实现怎么样的功能,怎么实现该功能。而我们的任务是通过这次杨老师的讲课去找资料了解各芯片的功能,并通过芯片实现其功能。接下来的任务就去找资料,设计电路图,并且仿真。

为了弄懂74LS192芯片的功能,我从图书馆里借来了好几本书,同时也在网上找了资料再到逻辑功能,经过一番努力终于解决啦,还有其它的芯片的功能也要慢慢的去琢磨。而在课程设计过程中,我觉得是对课本知识的巩固和加强,由于课本上的知识太多,同时平时课间又没有好好的运用额理解个个元件的功能,而且考试的内容有限,所以在这次课程设计过程中,我们了解很多元件的功能,对其在电路中的使用有更多的认识。

从前的学习过程过于浮浅,只是流于表面的理解,而现在要做课程设计,就不得不要求我们对所用到的知识有更深层次的理解。因为课程设计的内容比及书本中的理论知识而言,更接近于现实生活,而理论到实践的转化往往是一个艰难的过程,它犹如一只拦路虎,横更在我们的面前。但是我们毫不畏惧,因为我们相信我们能行。

前几天的主要任务是设计和仿真出主体电路。虽然在设计中会遇到这样那样的问题,有时认为是正确的,而在仿真中却出现了这样那样的问题。比如说在设计好的主电路图要实现南北各灯泡的状态,电路图我认为是对的,而在仿真的是后去出现了问题,就是出现了一个出状态,其它的都是正确的,经过了反复的检查没什么问题,后来问杨老师,其实没有问题,在实际中就不会出现了这种问题啦,所以有不懂的还是要问老师,那样还节省很多的时间。

电路图接好了,下面就是接线啦,这可是一个比较麻烦的事。首先要测试个芯片是否有问题,电路板有没有问题,以及导线是不是断了。这一系列的工作都是细心的事,容不的半点马虎。在接线的时候要细心和耐心、恒心,这样才能做好事情。首先是线的布局上既要美观又要实用和走线简单,兼顾到方方面面去考虑是很需要的,否则只是一纸空话。同时接好了一步电路以后,最为重要的是检查这部分是不是接对了。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与人合作共同提高,都受益非浅,今后的制作应该更轻松,自己也都能扛的起并高质量的完成项目。

两周的课程设计已经结束,我将珍藏这段难忘的时光,是她让我让我知道,任何一种小小的成绩后面,也许就隐藏着许许多多不为人的艰辛。

在此,我要感谢给予我们精心辅导的杨老师,还有其他代理课程设计的老师,也向他们表示衷心的感谢!

7 附录.元器件清单

74ls148 1个 74ls138 1个 74ls192 2个 555 2个 JK触发器 2个 面包板 1块 调试箱 1个

参 考 文 献

1.《电子线路设计、实验、测试》(第二版)

华中理工大学出版社-------谢自美 主编

2.《新型集成电路的应用》---------电子技术基础课程设计

华中理工大学出版社 梁宗善 主编

3.《电子技术基础实验》

高等教育出版社-------------陈大钦 主编

4.《电子技术课程设计指导》

高教出版社-------------------彭介华 主编

谁给我个八路抢答器的总结和致谢!!

DP801运算速度快,它能在很短的时间内处理多个信息。我们利用它的插座便能制作出一台八路抢答器。

抢答器有八路接口,可以随用随接,需要几路用几路。并且为比赛主持人准备了按钮和显示灯,以便确认是否有人抢答。

运行程序,单片机快速检测各抢答台按钮和主持人按钮。主持人按下“开始”按钮后0#灯点亮。单片机继续检测各按钮。若有人按钮,则显示其号码,并调用地址为70的子程序计时(画横线处可更改计时时间)。在计时过程中,若答对问题,主持人可用“复位”键退出子程序继续下一题。到时间还没有回答完,单片机便会发出声音报告,然后自动继续运行。若无人按钮,主持人可用“复位”键熄灭0#灯进行下一题。

制作安装:将接口板、指示灯、按钮、插孔焊接好固定在如图2的盒子里。

使用时,将用到的抢答器按钮插头插进插孔里运行程序就可以了。抢答器由按钮、接口板、单片机(DP801)三部分组成,按钮和接口板之间用插头、插座连接。接口板和单片机之间用26线扁平线连接。接口板电路原理图见图1。

程序清单如下:

地址 指令机器码 指令助记符 注释 地址 指令机器码 指令助记符 注释

0 04 00 SS4 ;数码管清零 33 04 05 SS 5 ;5号台按钮了

2 08 01 09 DZ1,09 ;检测1号台 35 12 70 DY 70 ;调用检测子程序

5 04 01 SS1 ;1号台按钮了 37 08 06 44 DZ 6,44 ;检测6号台

7 12 70 DY70 ;调用检测子程序 40 04 06 SS6 ;6号台按钮了

9 08 02 16 DZ 2,16 ;检测2号台 42 12 70 DY 70 ;调用检测子程序

12 04 02 SS 2 ;2号台按钮了 44 08 07 51 DZ 7,51 ;检测7号台

14 12 70 DY 70 ;调用检测子程序 47 04 07 SS 7 ;检测7号台了

16 08 03 23 DZ 3,23 ;检测3号台 49 12 70 DY 70 ;调用检测子程序

19 04 03 SS 3 ;3号台按钮了 51 08 08 58 DZ 8,58 ;检测8号台

21 21 70 DY 70 ;调用检测子程序 54 05 08 SS 8 ;8号台按钮了

23 08 04 30 DZ 4,30 ;检测4号台 56 12 70 DY 70 ;调用检测子程序

26 04 04 SS 4 ;4号台按钮了 58 08 00 63 DZ 0,63 ;检测主持人“开始”按钮

28 12 70 DY 70 ;调用检测子程序 61 00 00 JT 0 ;点亮0#灯,可以抢答

30 08 05 37 DZ5,37 ;检测5号台 63 08 09 00 DZ 9 ;检测主持人“复位”按钮

66 01 00 DK 0 ;断开0#灯,不可抢答 76 16 15 01 JISH 05,1 ;限时15秒回答问题

68 10 00 ZX 0 ;反复检测 79 09 09 88 TZ 09,88 ;检测主持人“复位”按钮

70 03 23 03 ZY 23,3 ;发出声音 82 08 15 79 DZ 15,79 ;未到时,再次检测

73 03 21 04 ZY 21,4 ;有人抢答 85 03 25 03 ZY 25,3 ;时间到,发出声音

88 01 00 DK 0 ;断开0#灯 90 13 FH ;回原处继续运行

(责任编辑:IT教学网)

更多

推荐其它系统文章