八路数字抢答器课程设计报告,八路数字抢答器课程设计报告桂林电

http://www.itjxue.com  2023-01-17 20:28  来源:未知  点击次数: 

用西门子s7-200plc设计一个八位抢答器控制系统

2010数字电路课程设计论文

数字抢答器设计

系 部: 电气系

班 级:093341

学 号:01

学生姓名: 廖 继 武

指导教师: 龙 治 红

专 业: 电子信息工程

2010 年12月12日

目录

摘要 I

第1章 绪论 1

第2章 抢答器的系统概述 2

2.1设计任务及要求 2

2.2 设计方案论证 3

2.3 抢答器的工作原理 4

2.4优先判断与编号锁存电路 错误!未定义书签。

第3章 抢答器的单元电路设计 6

3.1抢答器设计中的优先编码电路 7

3.2抢答器设计中的定时电路 9

3.3抢答器设计中的报警电路 10

3.4抢答器设计中的时序控制电路 9

3.5七段显示译码器与数码管 12

3.6抢答器的功能说明 14

第4章 总结 15

附录 16

摘要

数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将电路的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。更具实用性。

关键字: 抢答电路 定时电路 报警电路 时序控制电路

第1章 绪论

当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题,如果要是让抢答者用举手等方法,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就要有一种抢答设备作为裁判员,这就必然离不开抢答器。

抢答器是一种应用非常广泛的设备,在各种竞赛、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。早期的抢答器只由几个三极管、可控硅、发光管等组成,能通过发光管的指示辩认出选手号码。现在大多数抢答器均使用单片机或数字集成电路,并增加了许多新功能,如选手号码显示、抢按前或抢按后的计时、选手得分显示等功能。

目前数字电子技术已经广泛地应用到计算机、自动控制、电子测量仪表、电视、雷达、通讯等各个领域。随着科技的发展,现在的抢答器有着数字化,智能化的方向发展,这就必然提高了抢答器的成本。鉴于现在小规模的知识竞赛越来越多,操作简单,经济实用的小型抢答器必将大有市场。因此,我选择简易逻辑数字抢答器这一课题。简易逻辑数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。

本课题设计通过参考大量文献对抢答器的工作原理做了系统介绍,通过详细的调查和权威技术资料及相关情报的收集,为学校等单位举行的简单的抢答活动提供了简单设计思路,对于企业了解抢答器产品生产技术及其发展状况十分有益。

本课题设计了一种采用数字电路制作的多功能数字抢答器,它主要采用了74系列的常用集成电路,它除了具有基本的抢答功能之外,还具有定时报警的功能,和数显的功能,当抢答开始后,系统会自动倒计时,并且时间是可以预设的,期间有人抢答的话系统会停止计时,如果期间没人抢答,系统会有短暂的报警,提示抢答结束。通过这次课程设计,了解简单多功能数字电路抢答器的组成原理,初步掌握数字电路抢答器的调整及测试方法,提高思考能力和实践能力。同时通过本课题设计,巩固已学的理论知识,建立逻辑数字电路的理论和实践的结合,了解多功能抢答器各单元电路之间的关系及相互影响,从而能正确设计、计算定时计数的各个单元电路。

第2章 抢答器的系统概述

抢答器我们都知道是选手做抢答题时用的,选手进行抢答,由抢到题的选手回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一起跑线上,体现公平的原则。本设计的数字抢答器由主体电路和扩展电路组成。现简单的介绍设计任务及要求、设计方案论证、工作原理以及优先判断与编码电路。

2.1设计任务及要求

设计任务:设计一个具有锁存与显示功能的6人抢答逻辑电路。本课题的设计任务从功能上分, 主要包括以下两个部分:

(1)基本功能

可同时6名选手参加比赛,他们的编号分别是1,2,3,4,5,6。

各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S1,S2,S3,S4,S5,S6。

A、节目主持人设置一个控制开关,用来控制系统的清零和抢答的开始。

B、数字抢答器应具有数码锁存、显示功能。抢答开始后,若有选手按动抢答

按钮,编号立即锁存,并在LED数码管上显示选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。

(2)扩展功能

A、定时抢答功能。抢答器定时为20 s,启动起始键后,定时器开始工作,立即减计,并在显示器上显示出来,同时扬声器要短暂报警。

B、参赛选手在设定的时间内抢答(30s),抢答有效,定时器停止工作,显示器上显示选手的编号和抢倕时刻的时间,并保持到主持人将系统清零为止。

C、 当定时抢答的时间已到,还没有选手抢答进,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。

2.2 设计方案论证

制作抢答器可以采用多种设计方案,可以用单片机来完成,它的功能强大制作简单,并且外围的元件也很少;也可以用PLC来实现,它的制作也是比较简单;最后也可以用数字电路来实现,它的原理比较简单,集成块的价格也比较便宜且很容易购买,与我们学完的《数字电路》联系紧密,能将我们所学知识用于实际,对巩固所学知识有重要意义,用了一些成型电路,如NE555标准秒脉冲电路等,使总体方案易于实现,本设计采用的是数字电路。

2.3 抢答器的工作原理

如图2.1所示为抢答器的结构框图,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成检测数码管工作情况。其工作原理为:接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于"开始"状态,宣布"开始"抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。

2.4优先判断与编号锁存电路

74LS190的 优先判断与编号锁存电路如图2.2所示。电路选用优先编码器 74LS148(逻辑功能图2.3)和基本RS触发器(逻辑功能图2.4)来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号;二是禁止其他选手按键,其按键操作无效。工作

过程:系统清除按键按动时,四个RS触发器的置 端均为+5V,使四个触发器均被置0。1Q为0,使74LS148的使能端 =0,74LS190计数器的输入端D0=0,此时十位的74LS190输入“0010”,个位唯“0000”,从而进行20倒计时(见图2.5),74LS148处于允许编码状态,同时1Q为0,使74LS48的灭灯输入端 =0,数码管无显示。这时抢答器处于准备抢答状态。

当系统清除按键松开时,抢答器处于等待状态。当有选手将按键开关按下时,抢答器将接受并显示抢答结果,假设按下的是S4,则74LS148的编码输出为011,此代码送入基本RS触发器后再锁存74LS148,使4Q3Q2Q=100,亦即74LS148的输入为0100;又74LS148的优先编码标志输出Ys非为0,使1Q=1,即 =1,74LS48处于译码状态,译码的结果显示为“4”。同时1Q=1,使74LS148的 =1,74LS148处于禁止状态,从而封锁了其他按键的输入。此外,当优先抢答者的按键松开再按下时,由于仍为1Q=1,使 =1,74LS148仍处于禁止状态,确保不会接受二次按键时的输入信号,保证了抢答者的优先性。

图2.2

图2.3 CT74LS148 逻辑功能示意图

图2.4 基本RS触发器示意图

图2.5 控制74 LS190计数器

第3章 抢答器的单元电路设计

简易逻辑数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。现简单介绍抢答器设计中的优先编码电路、定时电路、报警电路、时序控制电路、七段显示数码器及译码管电路。

3.1抢答器设计中的优先编码电路

优先编码电路如图3.1所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。

工作过程:开关S置于“清除”端时,RS触发器的 端均为0,4个触发器输出置0,使74LS148的 =0,使之处于工作状态。当开关S置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5),74LS148的输出 经RS锁存后,1Q=1, =1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q=1,使74LS148 =1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的 此时由于仍为1Q=1,使 =1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置于“清除”然后再进行下一轮抢答。并且当1Q=时,使得74LS190的输入当D0=1,从而十位的74LS190的输入端唯“0011”,个位为“0000”,从而进行30秒倒计时。

74LS148是二进制的8线-3线优先编码器,从它的功能真值表中可以看出,输入、输出都是低电平有效,且输入中的I7的优先权最高,I0的优先权最低。输出低电平有效也称反码输出。当编码器工作时,若I0=1,不论其他输入端是否为有效低电平,只对IN7进行编码,编码输出为 若I7 =1,I6=0,则只对I6进行编码,编码输出为 ,其他编码过程依次类推。

( 74LS148为8线-3线优先编码器。表3.1为74LS148的功能真值表。)

表3.1 74LS148的功能真值表

3.2抢答器设计中的定时电路

由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置(20S),计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS190进行设计,具体电路如图3.2所示。本设计是以555构成震荡电路,由74LS190来充当计数器,构成抢答器的倒计时电路。该电路简单,无需用到晶振,芯片都是市场上容易购得的。设计功能完善,能实现直接清零、启动。74LS190 是一个十进制可逆计数器,它有两个时钟输入端CU和CD,当从CU输入时,进行加法记数,从CD输入时,进行减法记数。它有进位和借位输出,可以进行几位串接记数。它还有独立的置“0”输入端,并且可以单独对加法或减法记数进行预置数,本设计中的抢答器的定时电路就是利用74LS190中的预置数的功能来进行定时的。

图3.2定时电路

3.3抢答器设计中的报警电路

在我们实验室里,由于蜂鸣器不要CP脉冲只要有一定的电压和电流就能报警,只要前面的电路正确就报警,根据老师的要求,我设计了有人抢答和最后5S、3S、1S报警(见下图)。我主要通过十位的74LS190的Q0,Q1与个位的74LS190的Q0,Q1.Q2,Q3,经过8个输入端的或非门来控制最后5S、3S、1S报警,例如控制最后5S报警时,吧十位的Q0,Q1直接接在或非门上,个位的Q0,Q2经过非门后再接在或非门是,Q1,Q3直接接就可以啦!8输入端的或非门其余没用的管脚接地或者悬空就可以实现最后5S报警呢!关于最后3S,1S报警的与5S报警的差不多,只要控制好相应的管脚就可以啦!

有人抢答就报警就是把74LS148的三个输出端一起经过与非门就可以,因为没有人抢答时,输出端都为1;当有人抢答时三个输出端必定有一个为零,经过与非门后即为1,从而报警(见下图)。

最后5S,3S,1S报警电路图

有人抢答报警电路图

3.4抢答器设计中的时序控制电路

时序控制电路是抢答器设计的关键,它要完成以下三项功能:

①主持人将控制开关拨到"开始"位置时,扬声器发声,抢答电路和定时电路进人正常抢答工作状态。

②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时回答电路开始工作。

③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。

根据上面的功能要求,设计的时序控制电路。通过74LS4078控制74LS148的输人使能端 。工作原理是:主持人控制开关从"清除"位置拨到"开始"位置时,有74LS148送到基本RS触发器输出 1Q=0, 同时1Q的信号送到74LS148 端,使74LS148开始工作,且1Q送到74LS190的D0端,使74LS190进行定时电路进行递减计时(20S)。同时,在定时时间未到时,通过8输入端的或非门输出端为“0”,则"定时到信号"为0,送到74LS148 端,使74LS148开始工作,从而实现功能①的要求。当选手在定时时间内按动抢答键时,1Q=1, 即74LS148 =1,74LS148处于禁止工作状态,同时RS触发器输出的信号1到74LS190的DO,使74LS190实现从30S开始递减,从而实现功能②的要求。当定时时间到时,通过8输入端的或非门使"定时到信号"为1,使74LS190的 =1,74LS148处于禁止工作状态,禁止选手进行抢答。

3.5七段显示译码器与数码管

七段显示译码器与数码管如下图3.6所示。7段显示译码74LS48将锁存器74LS279的信号译码,输出给数码管。当后台工作人员将S置于GND, =0,使灯测试输入端(图中3号)=1,这时测试数码管工作情况;当后台工作人员将S置于Vcc, =1,使灯测试输入端(图中3号)=1,这时正常译码。

(74LS48为4线-七段译码器/驱动器,表3.2为其真值表,图3.7为逻辑图)

图3.6 7段显示译码器与数码管

Inputs Outputs

D C B A

a b c d e f g

0 H H L L L L H H H H H H H L

1 H X L L L H H L H H L L L L

2 H X L L H L H H H L H H L H

3 H X L L H H H H H H H L L H

4 H X L H L L H L H H L L H H

5 H X L H L H H H L H H L H H

6 H X L H H L H L L H H H H H

7 H X L H H H H H H H L L L L

8 H X H L L L H H H H H H H H

9 H X H L L H H H H H L L L L

10 H X H L H L H L L L H H L H

11 H X H L H H H L L H H L L H

12 H X H H L L H L H L L L H H

13 H X H H L H H H L L H L H H

14 H X H H H L H L L L H H H H

15 H X H H H H H L L L L L L L

BI X X X X X X L L L L L L L L

RBI H L L L L L L L L L L L L L

L X X X X X H H H H H H H H

表3.2 74LS48真值表

图3.7 74LS48逻辑图

3.6抢答器的功能说明

在知识比赛中,特别是做抢答题目的时候,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要设计一个系统来完成这个任务。本文主要介绍了简单逻辑数字电路抢答器的设计及工作原理,以及它的实际用途。如果要让比赛更公平,防止出现违规现象,可以增加其扩展功能:

(1) 可以设计声控装置,在主持人说开始时,系统自动完成清零并开始计时的功能。

(2) 在主持人读题的过程中,禁止抢答,可以在主持人控制的开关上另接一个与图3-1一样的电路,即可实现“违规者可见”的功能,即在主持人读题时如果有人违反比赛规定抢先按动按钮,显示器可以显示是哪个参赛队抢先,便于作出相应的处理。

第4章 总结

本设计主要讲述了抢答器的工作原理和工作过程。在说明工作原理的过程中,突出了抢答器设计中的基本电路的组成单元以及这些单元如何实现抢答功能;结合本设计的内容,指出了各单元电路的设计方法和意义,以及如何进行抢答控制。在这次设计中遇到了很多实际性的问题,在实际设计中才发现,书本上理论性的东西与在实际运用中的还是有一定的出入的,所以有些问题不但要深入地理解,而且要不断地更正以前的错误思维。电路设计是一个很灵活的东西,它反映了你解决问题的逻辑思维和创新能力。它才是一个设计的灵魂所在。因此在整个设计过程中大部分时间是用在单元电路的理解和设计上面。很多单元电路是可以借鉴书本上的,但怎样衔接各个单元电路才是关键的问题所在。经过这段时间的努力,在老师和同学的帮助下终于彻底的做完了课程设计的所有工作。通过这次的课程设计,我能运用已学的知识解决我在设计中遇到的问题,使我思考问题的能力得到了很大的提高。在做设计的过程中我查阅了很多的资料,并认真的阅读这些与我的设计相关的资料,从而我的专业涵养得到了提高,知识的储备量也有所增加。在做设计时,我复习了很多专业课的知识,这使得我的专业知识在离校之前得到了巩固。

这次设计,让我受益匪浅。我对数字电路设计中的逻辑关系等有了一定的认识,对以前学的数字电路又有了一定的新认识,温习了以前学的知识,就像人们常说的温故而知新,但在设计的过程中,遇到了很多的问题,有一些知识都已经不太清楚了,但是通过查找一些资料又重新的温习了一下数字电路部分的内容。

通过这次设计我也发现自己的很多不足之处。在设计过程中我发现自己考虑问题很不全面,自己的专业知识掌握的很不牢固,所掌握的电路应用软件还不够多,我希望自己的这些不足之处能在今后的工作和学习中得到改善。而且,通过这次设计,我懂得了学习的重要性,学会了坚持和努力,这将为以后的学习做出了最好的榜样!我将会在以后的生活中继续学习。

附录

八路数字抢答器的设计

1.供给主持人的按键有两个, 系统清零和开始抢答。

2.能显示是哪位选手抢答成功,同时显示该选手有60秒(倒计时)答题时间!

3.主持人按下抢答按键时,显示30秒(倒计时)抢答时间。如果主持人没按下抢答按键而有选手按抢答键,数码管闪烁显示犯规的选手号码,同时蜂鸣器报警!

4.如果定时时间到,无人抢答,系统禁止抢答,报警功能我没实现,数码管上显示FF.你可以自己修改为00。

5.同时提供另外两个按键,功能是主持人能调节抢答的时间和答题的时间!

方案:基于单片机的八路抢答器!

具体电路图和汇编程序请进入本人的博客,有全部资料,作品我也用面包板焊好同时实现了功能!

八路抢答器设计开题报告怎么写

怎么写开题报告呢?

首先要把在准备工作当中搜集的资料整理出来,包括课题名称、课题内容、课题的理论依据、参加人员、组织安排和分工、大概需要的时间、经费的估算等等。

第一是标题的拟定。课题在准备工作中已经确立了,所以开题报告的标题是不成问题的,把你研究的课题直接写上就行了。比如我曾指导过一组同学对伦教的文化诸如“伦教糕”、伦教木工机械、伦教文物等进行研究,拟定的标题就是“伦教文化研究”。

第二就是内容的撰写。开题报告的主要内容包括以下几个部分:

一、课题研究的背景。 所谓课题背景,主要指的是为什么要对这个课题进行研究,所以有的课题干脆把这一部分称为“问题的提出”,意思就是说为什么要提出这个问题,或者说提出这个课题。比如我曾指导的一个课题“伦教文化研究”,背景说明部分里就是说在改革开放的浪潮中,伦教作为珠江三角洲一角,在经济迅速发展的同时,她的文化发展怎么样,有哪些成就,对居民有什么影响,有哪些还要改进的。当然背景所叙述的内容还有很多,既可以是社会背景,也可以是自然背景。关键在于我们所确定的课题是什么。

二、课题研究的内容。课题研究的内容,顾名思义,就是我们的课题要研究的是什么。比如我校黄姝老师的指导的课题“佛山新八景”,课题研究的内容就是:“以佛山新八景为重点,考察佛山历史文化沉淀的昨天、今天、明天,结合佛山经济发展的趋势,拟定开发具有新佛山、新八景、新气象的文化旅游的可行性报告及开发方案。”

三、课题研究的目的和意义。

课题研究的目的,应该叙述自己在这次研究中想要达到的境地或想要得到的结果。比如我校叶少珍老师指导的“重走长征路”研究课题,在其研究目标一栏中就是这样叙述的:

1、通过再现长征历程,追忆红军战士的丰功伟绩,对长征概况、长征途中遇到了哪些艰难险阻、什么是长征精神,有更深刻的了解和感悟。

2、通过小组同学间的分工合作、交流、展示、解说,培养合作参与精神和自我展示能力。

3、通过本次活动,使同学的信息技术得到提高,进一步提高信息素养。

四、课题研究的方法。

在“课题研究的方法”这一部分,应该提出本课题组关于解决本课题问题的门路或者说程序等。一般来说,研究性学习的课题研究方法有:实地调查考察法(通过组织学生到所研究的处所实地调查,从而得出结论的方法)、问卷调查法(根据本课题的情况和自己要了解的内容设置一些问题,以问卷的形式向相关人员调查的方法)、人物采访法(直接向有关人员采访,以掌握第一手材料的方法)、文献法(通过查阅各类资料、图表等,分析、比较得出结论)等等。在课题研究中,应该根据自己课题的实际情况提出相关的课题研究方法,不一定面面俱到,只要实用就行。

五、课题研究的步骤。

课题研究的步骤,当然就是说本课题准备通过哪几步程序来达到研究的目的。所以在这一部分里应该着重思考的问题就是自己的课题大概准备分几步来完成。一般来说课题研究的基本步骤不外乎是以下几个方面:准备阶段、查阅资料阶段、实地考察阶段、问卷调查阶段、采访阶段、资料的分析整理阶段、对本课题的总结与反思阶段等。

六、课题参与人员及组织分工。

这属于对本课题研究的管理范畴,但也不可忽视。因为管理不到位,学生不能明确自己的职责,有时就会偷懒或者互相推诿,有时就会做重复劳动。因此课题参与人员的组织分工是不可少的。最好是把所有的参与研究的学生分成几个小组,每个小组通过民主选举的方式推选出小组长,由小组长负责本小组的任务分派和落实。然后根据本课题的情况,把相关的研究任务分割成几大部分,一个小组负责一个部分。最后由小组长组织人员汇总和整理。

七、课题的经费估算。

一个课题要开展,必然需要一些经费来启动,所以最后还应该大概地估算一下本课题所需要 的资金是多少,比如搜集资料需要多少钱,实地调查的外出经费,问卷调查的印刷和分发的费用,课题组所要占用的场地费,有些课题还需要购买一些相关的材料,结题报告等资料的印刷费等等。所谓“大军未动,粮草先行”,没有足够的资金作后盾,课题研究势必举步维艰,捉襟见肘,甚至于半途而废。因此,课题的经费也必须在开题之初就估算好,未雨绸缪,才能真正把本课题的研究做到最好。

八路抢答器课程设计,急用~~~!!!~~~~高分

电子技术课程设计

——————八路智力竞赛抢答器

学院: 华科学院

专业,班级:电气工程及其自动化062203H

姓名 段超

学号: 200622050308

指导老师: 黄庆彩

2008年1月

目录

一 设计任务与要求……………………………………3

二 总体框图……………………………………………3

三 选择器件……………………………………………4

四 功能模块……………………………………………6

五 电路的装配调试……………………………………9

六 心得体会……………………………………………11

八路智力竞赛抢答器

一.设计任务与要求

1.任务和要求

抢大器能容纳8名选手,并且给出相应的编号为1、2、3、4、5、6、7、8,为每名选手设置一个按键。为了简化设计,可以利用试验仪上的逻辑电平开关。(这部分要求由我主要负责)

设置一个给工作人员清零的开关,以便能开始新的一轮的抢答。为了简化设计,可以利用试验仪上的逻辑电平开关。

用LED数码管显示获得优先抢答的选手的编号,一直保持到工作人员清零或1分钟倒记时答题时间结束为止。

用LED数码管显示有效抢答后的1分钟到记时答题时间。

用喇叭发声知识有效抢答及答题时间的结束。(这部分要求由我主要负责)

秒信号不必考虑时间精度,可利用试验仪上所提供的连续脉冲(方波)。

二.总体框图

根据设计任务与要求,我初步将系统分为4大功能模块:主电路、数据采集电路、控制电路和音响电路。可将主电路分为一个十六进制(实现一分钟倒记时答题时间)计数、译码、显示电路;数据采集电路(获得优先抢答选手的编号)分为8路抢答开关、八D数据锁存器、优先编码器、加1电路;控制电路分为锁存控制、倒记时控制、音响控制;音响电路分为单稳态触发器、音振及喇叭电路。以下是我设计的总体框图:如图1所示

图一 总体框图

三.选择器件

整个电路的电子器件有:555定时器,74LS192,74LS148,74LS373,74LS00,74LS04以及若干电容和电阻。我详细介绍一下我所设计的这两个电路中所用到的重要器件(555定时器和 74LS373):

1.555定时器

555 定时器是一种模拟和数字功能相结合的中规模集成器件。555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555 定时器的内部电路框图和外引脚排列图分别如下图2:

图2 555定时器的内部电路框图和外引脚排列图

它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3 555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 A1 的反相输入端的电压为 2VCC /3,A2 的同相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 A2 的输出为 1,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 A1 的输出为 1,A2 的输出为 0,可将 RS 触发器置 0,使输出为 0 电平。

2.74LS373

74373八D锁存器为三态输出的8 D透明锁存器, 373的输出端O0-O7可直接与总线相连。当三态允许控制端OE为低电平时,O0-O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0-O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。当LE端施密特触发器的输入滞后作用,使交流和直流噪声抗扰度被改善400mV。

373引出端符号:

???D0~D7-----数据输入端?????OE-----三态允许控制端

???LE-----锁存允许端???????????? O0-O7-----输出端

74LS373外部管腿图、真值表、逻辑图,如下图3所示:

图3 74LS373外部管腿图、真值表、逻辑图

真值表中:L——低电平; H——高电平; X——不定态; Q0——建立稳态前Q的电平; G——输入端,与8031ALE连高电平:畅通无阻低电平:关门锁存。图中OE——使能端,接地。当G=“1”时,74LS373输出端1Q—8Q与输入端1D—8D相同;当G为下降沿时,将输入数据锁存。

四.功能模块

根据设计任务与要求,我初步将系统分为4大功能模块:主电路、数据采集电路、控制电路和音响电路。

1.主电路由六十进制计数器和译码、显示电路两部分组成。

2.控制电路由锁存控制和倒计时控制两部分组成。

以上的这两大模块部电路均由我的搭档负责

以下的数据采集电路和音响电路两个模块是由我主要负责,下面我就详细说明我所设计的这两模块:

3.数据采集电路

(1).八路抢答开关

为8位选手提供8个抢答的按钮,这样可以在松开按钮后及时复位,为下次做准备。这部分我利用的是试验仪上的8个逻辑开关,在接电路图的时候,只用一个开关仿真。

(2).八D数据锁存器

采用八D数据锁存器74LS373,抢答前应使锁存允许LE=1,此时允许选手抢答,当有选手抢答有效时,要利用控制电路中的LE=0,使数据被锁存,其它选手就抢答无效了。

(3).优先编码器

采用优先编码器74LS148,因为采用了高速控制电路,因此一旦抢答,立即锁存。

我所设计的控制电路将充分利用74LS148的两个输出信号:选通输出Ys和扩展输出YEX。

以下是我设计的数据采集电路电路图如图4所示:

图4数据采集电路

4.音响电路

(1).单稳态触发器

设音响提示时间为2秒左右,可采用一脉宽为2秒的单稳态触发器实现。

实现单稳态触发器的方法有很多,可以用与非门或者非门电路实现微分型单稳、利用施密特触发器实现单稳、集成单稳等。现采用555定时器实现,注意其脉宽的计算公式为tw=1.1RC.若一个负脉冲触发信号到来,将有效触发单稳态电路产生一个脉宽为2秒的正脉冲。

(2).音频振荡器及喇叭电路

利用555定时器实现频率约1kHz的音频振荡器,因555定时器有较强的功率输出能力,可以直接推动喇叭输出。

当单稳态触发器进入暂稳态产生一个正脉冲时,控制555定时器开始工作,发出响声;当单稳态触发器自动返回稳态后,555定时器清零,不能发声。

以下是我设计的电路图如图5所示:

图5 音响电路

五 电路的装配与调试

1.由图中所示的定时抢答器的总体方框,按时信号的流向分单元装配,逐级级联。

2.我接好电路后,开始检验我的结果,发现当有选手抢答后,没有开始倒计时,经过老师的指正我们找到了原因,并立即改正,实现了要求的结果。

3.我将裁判的开关拨至0再拨回1时,发现是总能在任意时间重新抢答。(与设计要求相符)

4.我检查到,当计数器减到00时,产生了一个负脉冲信号,同时也允许开始新一轮的抢答。(与设计要求相符)

5.最后我检查的是我所设计的音响电路,当我拨动一个选手开关后,音响电路所对应的绿灯开始发光,并开始倒计时。当计数器减到00时,绿灯再次发光提示。(与设计要求相符)

现给出我所设计的总电路图如图6所示:

图6 总电路图

我的仿真结果图如图7所示:

图7 分别是倒计时、数据采集、音响电路的仿真结果

六 心得体会

在设计之前,参考了许多相关的资料。在设计中又参考了以前讲过的四路抢答器的原理图,有了基本的思路。

但着手设计时,又出现了许多未预料到的问题,例如元件的选择:在选择编码器时,是采用普通编码器还是优先编码器。普通编码器中,任何时刻只允许输入一个编码信号,否则输出将发生混乱。所以选择了优先编码器。但是74LS系列中众多不同管脚的类型,选择哪个作编码器。经过查找,选择了74LS192,因为想用数字的形式显示抢答者的编号,所以选择了数码显示管,但数码显示管不能直接,数码显示管需要由TTL或CMOS集成电路驱动,所以在TTL还是CMOS集成电路上又进行了比较和选择。最后选择了数显译码器,用它将输出的二进制代码译成相对应的高、低信号,用其作为数码显示管的驱动信号,数码显示管显示出相对应的选手编号。在音响电路中,根据设计需要选择了555定时器。

在一些设计原理上也遇到了许多新问题。发现当电源接通后,无论有无人按按钮都会使音乐集成电路通电发出声响,经同学以及老师的指证,发现导通的原因,并及时的改正。

通过这次八路抢答器的设计,我发现了以往学习中的许多不足,也让我掌握了以往许多掌握的不太牢的知识,感觉学到了很多东西。三周的课程设计,留给我印象最深的是要设计一个成功的电路,必须要有耐性和坚持下去的毅力。在整个电路的安装调试的过程中,花费时间最多的是各个元件电路的连接,电路的细节设计以及连完线路后的检查工作上,其中在连接电路是出现问题比较多,在555元件和74LS192元件的连接的调试的时候出现了问题在老师的指导和讲解下我门有了更深刻的认识,同时对元件的原理的功能了解的更多更深刻。在这次过程中,我深刻的体会到在设计过程中,需要反复实践,其过程很可能相当的烦琐,有时花很长时间检查电路故障,分析原因,那时心中就有点灰心,有时还特别想放弃,此时更需要静下心来,更仔细的查找原因。

总之,这次实验过程中我受益匪浅,在摸索我和我的搭档实现了课题所要求的结果。培养了我的设计思维,增加了动手操作的能力。更让我体会到实现电路功能喜悦。

课程设计 八路竞赛抢答器

第一章抢答器设计功能分析

1.1 数字抢答器的概述

对于抢答器我们大家来说都不陌生,它是用于很多竞赛场合,真正实现先抢先答,让最先抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。

1.2 设计任务与要求

基本要求:

1. 给主持人设置一个开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。

2. 抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答器按钮,编号立即锁存,并在LED数码上显示选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。

发挥部分:

1. 抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响,声响持续时间0.5秒左右。

2. 参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。

3. 如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。

4. 选手如果在主持人按开始键之前违规抢答,系统报警,LED显示违规选手号码和FF,直到主持人按下停止键。

第二章 抢答器方案论证

抢答器的实现方式有种多样,通过纯电子器件搭建电路实现,如优先编码器,锁存器,555定时器译码器等,纯电子器件实现没有软件参与,调试简单,但是它不易于扩展和修改,而且电路结构复杂,调试困难电子,电子器件管脚很多,实际搭建起来费时费力,焊接很容易出错。于是,我想到了用单片机实现。单片机体积小价格低,应用方便,稳定可靠。单片机将很多任务交给了软件编程去实现,大大简化了外围硬件电路,使外围电路的实现简单方便。由于单片机本身不具有软件编译测试的功能,我们需要借助其他软件编译,将编译好的程序“烧”入单片机内。

在实际电路设计中,需要先通过仿真软件测试电路以及编译的程序,检查外围电路设计是否合理,软件编译是否正确,以及软件和硬件电路能否正常配合工作,能否准确的实现所设计的功能。如果测试通过,电路仿真没有问题能完全实现功能的话就可以实际的做板子的焊接工作了。在老师的指导下我选择了常用的单片机仿真软件proteus6.9以及keil 进行仿真。

第三章 硬件电路设计

3.1总体设计

根据抢答器的基本功能,可以设计出如下的单片机外围电路:

图3-1 总体设计

如图3-1,P3.0为开始抢答,P3.2为停止,P1.0-P1.7为八路抢答输入,数码管段选P0口,位选P2口低3位,蜂鸣器(用绿灯代替)输出为P3.6口。P3.2为时间加1调整,P3.3为时间减1调整。

3.2 外部振荡电路

图3-2 外部振荡电路

一般选用石英晶体振荡器。此电路在加电大约延迟10ms后振荡器起振,在XTAL2引脚产生幅度为3V左右的正弦波时钟信号,其振荡频率主要由石英晶振的频率确定。电路中两个电容 C1,C2的作用有两个:一是帮助振荡器起振;二是对振荡器的频率进行微调。C1,C2的典型值为30PF。

3.3 复位电路的设计

单片机的第9脚RST为硬件复位端,只要将该端持续4个机器周期的高电平即可实现复位,复位后单片机的各状态都恢复到初始化状态,其电路图如图4所示:

图3-3 复位电路

在方案中使用到了硬件复位和软件复位两种功能,由上面的硬件复位可使寄存器及存储器的值都恢复到初始值,而前面的功能提到了倒计时间需要有记忆功能,该功能实现的前提条件就是不能对单片机进行硬件复位,所以设定了软复位功能。软复位实际上就是当程序执行完毕之后,将程序指针通过一条跳转指令让它跳转到程序执行的起始地址。

3.1.4 显示电路的设计

显示电路使用了七段数码管7SEG-MPX4-CC,它是共阴极的,由高电平点亮。

图3-4 阴极七段数码管

4.1.5 按钮输入电路的设计

抢答器的输入按钮使用常开开关,

图3-5 抢答按键

这些常开开关组成了抢答按键,硬件电路简单,在程序设计上也不复杂,只要在程序中消除在按键过程中产生的“毛刺”现象就可以了。这里采用最常用的方法即延时法,其的原理为:因为“毛刺”脉冲一般持续时间短,约为几ms,而按键的时间一般远远大于这个时间,所以当单片机检测到有按键动静后再延时一段时间(这里取10ms)后再判断此电平是否保持原状态,如果是则为有效按键,否则无效。

3.1.6 发声

这里能利用程序来控制单片机P3.6口线反复输出高电平或低电平,即在该口线上产生一定频率的矩形波,接上扬声器就能发出一定频率的声音,再利用延时程序控制“高”“低”电平的持续时间,就能改变输出频率,从而改变音调,使扬声器发出不同的声音。

第四章 系统软件设计

4.1 程序系统结构图

硬件电路确定后,软件的编程要与硬件相匹配,软硬件才能结合完成所要实现的功能。由功能分析得到以下的软件结构图:

图4-1 软件系统结构图

4.2 程序流程图

整个程序主要由定时器T0、定时器T1、外部中断0和主程序构成。

定时器T0用于使扬声器发声,当需要响铃时,把响铃标志位置一,每次中断都对P3.7取反,扬声器发声,改变定时器初值,可改变扬声器频率。定时器程流程图如下:

图4-2 响铃程序流程图

定时器T1用于倒计时,每次中断为50ms,当计数标志为20时即为一秒,显示数字减一。其流程图如下:

图4-3 倒计时中断流程图

外部中断0用于调整倒计时时间,流程图如下:

图4-4 调整抢答时间流程图

主程序协调三个中断一起工作,实现抢答功能,其流程图如下:

图4-5 主程序流程图

附录:

程序代码:

P3.0为开始抢答,P3.1为停止,P1.0-P1.7为八路抢答输入,数码管段选P0口,位选P2的低三位口,蜂鸣器输出为P3.6口。

ORG 0000H

AJMP MAIN

ORG 0003H

AJMP INT0SUB

ORG 000BH

AJMP T0INT

ORG 001BH

AJMP T1INT

OK EQU 20H ; 抢答开始标志位

RING EQU 22H ; 响铃标志位

ORG 0040H

MAIN: MOV R1,#0FH; 初设抢答时间为15s

MOV R2,#0AH; 初设答题时间为10s

MOV TMOD,#11H; 设置未定时器/模式1

MOV TH0,#0F0H

MOV TL0,#0FFH; 越高发声频率越高,越尖

MOV TH1,#3CH

MOV TL1,#0B0H; 50ms为一次溢出中断

SETB EA

SETB ET0

SETB ET1

SETB EX0

SETB EX1; 允许四个中断,T0/T1/INT0/INT1

CLR OK

CLR RING

SETB TR1

SETB TR0; 一开始就运行定时器,以开始显示FFF.如果想重新计数,重置TH1/TL1就可以了

查询程序:

START: MOV R5,#0BH

MOV R4,#0BH

MOV R3,#0BH

ACALL DISPLAY; 未开始抢答时候显示FFF

JB P3.0,NEXT

ACALL DELAY

JB P3.0,NEXT;去抖动,如果"开始键"按下就向下执行,否者跳到非法抢答查询

ACALL BARK;按键发声

MOV A,R1

MOV R6,A; 送R1-R6,因为R1中保存了抢答时间

SETB OK; 抢答标志位,用于COUNT只程序中判断是否查询抢答

MOV R3,#0AH; 抢答只显示计时,灭号数

AJMP COUNT;进入倒计时程序,"查询有效抢答的程序"在COUNT里面

NEXT: JNB P1.0,FALSE1

JNB P1.1,FALSE2

JNB P1.2,FALSE3

JNB P1.3,FALSE4

JNB P1.4,FALSE5

JNB P1.5,FALSE6

JNB P1.6,FALSE7

JNB P1.7,FALSE8

AJMP START

非法抢答处理程序:

FALSE1: ACALL BARK; 按键发声

MOV R3,#01H

AJMP ERROR

FALSE2: ACALL BARK

MOV R3,#02H

AJMP ERROR

FALSE3: ACALL BARK

MOV R3,#03H

AJMP ERROR

FALSE4: ACALL BARK

MOV R3,#04H

AJMP ERROR

FALSE5: ACALL BARK

MOV R3,#05H

AJMP ERROR

FALSE6: ACALL BARK

MOV R3,#06H

AJMP ERROR

FALSE7: ACALL BARK

MOV R3,#07H

AJMP ERROR

FALSE8: ACALL BARK

MOV R3,#08H

AJMP ERROR

倒计时程序(包括有效抢答程序):

COUNT: MOV R0,#00H; 重置定时器中断次数

MOV TH1,#3CH

MOV TL1,#0B0H; 重置定时器

RECOUNT: MOV A,R6; R6保存了倒计时的时间

MOV B,#0AH

DIV AB; 除十分出个位/十位

MOV 30H,A; 十位存于(30H)

MOV 31H,B; 个位存于(31H)

MOV R5,30H ; 取十位

MOV R4,31H ; 取个位

MOV A,R6

CLR C

SUBB A,#07H

JNC LARGER ;大于5s跳到LARGER,小于等于5s会提醒

MOV A,R0

CJNE A,#0AH,FULL;1s中0.5s向下运行

CLR RING

AJMP CHECK

FULL: CJNE A,#14H,CHECK ; 1s时,响并显示号数并清R0,重新计时

SETB RING

MOV A,R6

JZ QUIT ; 计时完毕

MOV R0,#00H

DEC R6 ; 一秒标志减1

AJMP CHECK

LARGER: MOV A,R0

CJNE A,#14H,CHECK ; 如果1s向下运行,否者跳到查"停/显示"

DEC R6; 计时一秒R6自动减1

MOV R0,#00H

CHECK: JNB P3.1,QUIT; 如按下停止键退出

ACALL DISPLAY

JNB P1.0,TRUE1

JNB P1.1,TRUE2

JNB P1.2,TRUE3

JNB P1.3,TRUE4

JNB P1.4,TRUE5

JNB P1.5,TRUE6

JNB P1.6,TRUE7

JNB P1.7,TRUE8

AJMP RECOUNT

QUIT: CLR OK ; 如果按下了"停止键"重新回到开始

CLR RING

ACALL BARK

AJMP START

正常抢答处理程序:

TRUE1: ACALL BARK; 按键发声

MOV A,R2

MOV R6,A; 抢答时间R2送R6

MOV R3,#01H

CLR OK;

AJMP LOOP2

TRUE2:ACALL BARK;

MOV A,R2

MOV R6,A

MOV R3,#02H

CLR OK

AJMP LOOP2

TRUE3:ACALL BARK;

MOV A,R2

MOV R6,A

MOV R3,#03H

CLR OK

AJMP LOOP2

TRUE4:ACALL BARK;

MOV A,R2

MOV R6,A

MOV R3,#04H

CLR OK

AJMP LOOP2

TRUE5: ACALL BARK;

MOV A,R2

MOV R6,A

MOV R3,#05H

CLR OK

AJMP LOOP2

TRUE6: ACALL BARK;

MOV A,R2

MOV R6,A

MOV R3,#06H

CLR OK

AJMP LOOP2

TRUE7: ACALL BARK;

MOV A,R2

MOV R6,A

MOV R3,#07H

CLR OK

AJMP LOOP2

TRUE8: ACALL BARK;

MOV A,R2

MOV R6,A

MOV R3,#08H

CLR OK

LOOP2: AJMP DISPLAY ;抢答后停止计时,等待返回

SETB RING

JNB P3.1 QUIT

AJMP LOOP2

犯规抢答程序:

ERROR: SETB RING ;犯规响铃

MOV R5,#0BH

MOV R4,#0BH; 显示FF和犯规号数

LOOP3: ACALL DISPLAY

JNB P3.1 QUIT1; 等待“停止”键按下

AJMP LOOP3

QUIT1: CLR RING

CLR OK

AJMP START

显示程序:

DISPLAY:MOV DPTR,#DAT1; 查表显示程序,利用P0口做段选码口输出/P2低三位做位选码输出

MOV A,R5

MOVC A,@A+DPTR

MOV P2,#01H

MOV P0,A

ACALL DELAY

MOV DPTR,#DAT2

MOV A,R4

MOVC A,@A+DPTR

MOV P2,#02H

MOV P0,A

ACALL DELAY

MOV A,R3

MOVC A,@A+DPTR

MOV P2,#04H

MOV P0,A

ACALL DELAY

RET

DAT1:DB 00H,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh,00H,71H

;"灭","1","2","3","4","5","6","7","8","9","灭","F"

DAT2:DB 3FH, 06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh,00H,71H

DELAY1: MOV 35H,#08H

LOOP0: ACALL DISPLAY

DJNZ 35H,LOOP0

RET

延时(显示和去抖动用到):

DELAY: MOV 32H,#12H

LOOP: MOV 33H,#0AFH

LOOP1: DJNZ 33H,LOOP1

DJNZ 32H,LOOP

RET

发声程序:

BARK: SETB RING

ACALL DELAY1

ACALL DELAY1

CLR RING; 按键发声

RET

INT0(抢答时间R1调整程序):

INT0SUB:MOV A,R1

MOV B,#0AH

DIV AB

MOV R5,A

MOV R4,B

MOV R3,#0AH

ACALL DISPLAY;先在两个时间LED上显示R1

JNB P3.2,INC0; P3.2为+1s键,如按下跳到INCO

JNB P3.3,DEC0; P3.3为-1s键,如按下跳到DECO

JNB P3.4,BACK0; P3.4为确定键,如按下跳到BACKO

AJMP INT0SUB

INC0: MOV A,R1

CJNE A,#63H,ADD0; 如果不是99,R2加1,如果加到99了,R1就置0,重新加起

MOV R1,#00H

ACALL DELAY1

AJMP INT0SUB

ADD0: INC R1

ACALL DELAY1

AJMP INT0SUB

DEC0: MOV A,R1

JZ SETR1;如果R1为0, R1就置99,

DEC R1

ACALL DELAY1

AJMP INT0SUB

SETR1: MOV R1,#63H

ACALL DELAY1

AJMP INT0SUB

BACK0: RETI

TO溢出中断(响铃程序):

T0INT:MOV TH0,#0ECH

MOV TL0,#0FFH

JNB RING,OUT

CPL P3.6 ; RING标志位为1时候P3.6口不短取反使喇叭发出一定频率的声音

OUT: RETI

T1溢出中断(计时程序):

T1INT: MOV TH1,#3CH

MOV TL1,#0B0H

INC R0

RETI

END

(责任编辑:IT教学网)

更多

推荐通讯数据软件文章