eda课程设计题目最简单(eda程序设计题)

http://www.itjxue.com  2023-02-01 17:57  来源:未知  点击次数: 

急求eda课程设计一份:脉冲信号发生器的设计

给个时钟信号发生器参考一下吧

一个电子系统中需要三种时钟,分别是:1000HZ、100Hz、50Hz,系统输入时钟为100KHz。试用VHDL描述该时钟发生器。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity counter is

generic(count_value:integer:=9);

port(clk:in std_logic;

co:out std_logic);

end counter;

architecture a of counter is

signal cnt:integer range 0 to count_value;

begin

process(clk)

begin

if(clk'event and clk='1')then

if(cnt=count_value)then

cnt=0;

co='1';

else

cnt=cnt+1;

co='0';

end if;

end if;

end process;

end a;

(2)

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity div3 is

port (clk:in std_logic;

fout:out std_logic_vector(2 downto 0));

end div3;

architecture a of div3 is

signal co_clk:std_logic_vector(3 downto 0);

component counter is

generic(count_value:integer:=9);

port(clk:in std_logic;

co:out std_logic);

end component;

begin

cnt0:counter

generic map(count_value=9)

port map(clk=clk, co=co_clk(3));

cnt1:counter

generic map(count_value=9)

port map(clk=co_clk(3), co=co_clk(2));

cnt2:counter

generic map(count_value=9)

port map(clk=co_clk(2), co=co_clk(1));

cnt3:counter

generic map(count_value=1)

port map(clk=co_clk(1), co=co_clk(0));

fout(2)=co_clk(2);

fout(1)=co_clk(1);

fout(0)=co_clk(0);

end a;

跪求EDA课程设计

EDA课程设计心得体会要多的,急!!!非常谢谢学长们!!! 这个是我采用单片机设计出的工业锅炉控制器,能够针对汽包水位的不同状态和不同外界条件

用VHDL语言设计一个交通灯,EDA课程设计

首先最简单的方法是列出真值表。写出逻辑表达式。然后根据逻辑表达式来写出vhdl程序。在编译=》仿真=》功能分析=》输出延时=》下载程序 1.设计原理

在这个实例中,我们设计一个简单的十字路口交通灯。交通灯分东西和南北两个方向,均通过数码管和指示灯指示当前的状态。设两个方向的流量相当,红灯时间45s,绿灯时间40s,黄灯时间5s.

从交通灯的工作机理来看,无论是东西方向还是南北方向,都是一个减法计数器。只不过计数时还要判断红绿灯情况,再设置计数器的模值。

下表所示为一个初始状态和4个跳变状态。交通灯工作时状态将在4个状态间循环跳变,整个交通灯则完全按照减计数器原理进行设计。

状态 当前计数值 下一个CLOCK到来时新模值

东西方向指示 南北方向指示 东西-南北方向指示 东西方向指示 南北方向指示 东西-南北方向指示

初始 0 0 45 40 红-绿

1 6 1 红-绿 5 5 红-黄

2 1 1 红-黄 40 45 绿-红

3 1 6 绿-红 5 5 黄-红

4 1 1 45 40 红-绿

2.部分程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity traffic is

port(clk, urgency: in std_logic;

east_west:buffer std_logic_vector(7 downto 0);--东西方向时钟计数

south_north: buffer std_logic_vector(7 downto 0); --南北方向的时钟计数

led:buffer std_logic_vector(5 downto 0)); --交通指示灯

end traffic;

architecture arch of traffic is

。。。。。。。

end arch;

3.具体设计步骤

1) 建立一个新的工程完成上面的电路设计

2) 编译电路并使用功能仿真来验证设计

3) 引脚配置,如Part I中讨论的,这些配置是确保VHDL代码中输出端口能使用PFGA芯片上连接到LEDR和LEDG的引脚。重新编译项目,并下载到FPGA芯片上。

4) 测试电路的正确性。

求EDA的数字钟设计报告呀 要求如下 本人是36号

x=solve('x^3-2*x^2-4*x=7');vpa(x,5);

x =

[ 3.6321]

[ -.81603+1.1232*i]

[ -.81603-1.1232*i]

roots([1 -2 -4 -7])

ans =

3.6320

-0.8160 + 1.1232i

-0.8160 - 1.1232i

EDA课程设计:设计含有异步清零和计数使能的16位二进制加减可控计数器

你好 我们也在学EDA 最近也刚好教到这边 下面是我自己写的一段代码

有些功能还不是很完善,谢谢。。。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cnt16 is

port(clk,clr,enb,control:in std_logic;

cout:out std_logic;

coh:out std_logic_vector(3 downto 0));

end entity cnt16;

architecture one of cnt16 is

begin

process (clk,clr,enb,control)

variable co :std_logic_vector(3 downto 0);

begin

if clr='1' then co:="0000";

elsif rising_edge(clk) then

if enb='1' then

case control is

when '0' =

if co15 then co:=co+1;

else co:="0000";

end if;

when '1'=

if co0 then co:=co-1;

else co:="1111";

end if;

end case;

end if;

end if;

if co=15 then cout='1';

else cout='0';

end if;

coh=co;

end process;

end architecture;

四位二进制 算术运算和逻辑运算 eda实验

『数字电路』课程设计指导书

一、 教学目标

(一)课程性质

课程设计。

(二)课程目的

训练学生综合运用学过的数字电路的基本知识,独立设计比较复杂的数字电路的能力。

二、教学内容基本要求及学时分配

(一) 课程设计题目

题目见附录I,原则上每人一题。

(二) 设计内容

拿到题目后首先进行电路设计。然后在微机上进行原理图输入、编译和软件仿真,如满足设计要求,再进行下载和硬件实验。如硬件实验结果不满足要求,则修改设计,直到满足要求为止。

(三) 设计要求

(1) 按题目要求的逻辑功能进行设计,电路各个组成部分须有设计说明;

(2) 必须采用原理图输入法;

(3) 软件仿真完成后,必须经教师允许方可进行下载;

(四) 使用的硬件和软件

硬件为可编程逻辑器件EPM7128S;软件为MAX+PLUSII。见附录。

三、主要教学环节

(一)设计安排

1. 课程设计时间为两周,每人一台微机;

2. 第1、2天讲授设计需要的硬件和软件、设计的要求、布置设计题目;

3. 第3~8天学生进行设计;

4. 第9、10天教师验收,然后学生撰写和打印设计报告。

(二)指导与答疑

每天都有教师现场答疑,学生有疑难问题可找教师答疑。学时应充分发挥主观能动性,不应过分依赖教师。

(三)设计的考评

设计全部完成后,须经教师验收。验收时学生要讲述自己设计电路的原理、仿真情况,还要演示硬件实验结果。

教师根据学生设计全过程的表现和验收情况给出成绩。

四、课程设计报告的内容和要求

(一)课程设计报告的内容

按附录中给出 的报告模板进行编写,用A4纸打印,左侧装订。

(二)课程设计报告编写的基本要求

(1)按设计指导书中要求的格式书写,所有的内容一律打印;

(2)报告内容包括设计过程、软件仿真的结果及分析、硬件仿真结果及分析;

(3)要有整体电路原理图、各模块原理图;

(4) 软件仿真包括各个模块的仿真和整体电路的仿真,对仿真必须要有必要的说明;

(5) 硬件仿真要给出各个输入信号的具体波形和输出信号的测试结果。

附录I EDA课程设计参考题目

注:在以下设计中只可以用一个参考时钟

一、数码管显示控制器

要求:

1.能自动一次显示出数字 0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列), 0、2、4、6、8(偶数列),0、1、2、3、4、5、6、7、0、1(音乐符号序列);然后再从头循环;

2.打开电源自动复位,从自然数列开始显示。

二、乒乓球游戏机

要求:

1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍;

2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各自加1分;

3.甲、乙各有一数码管计分;

4.裁判有一个按钮,是系统初始化,每次得分后, 按下一次。

三、智力竞赛抢答器

要求:

1.五人参赛每人一个按钮,主持人一个按钮, 按下就开始;

2.每人一个发光二极管,抢中者灯亮;

3.有人抢答时,喇叭响两秒钟;

4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。

四、数字钟

要求:

1.输入10HZ的时钟;(提示:对已有kHz频率时钟进行分频)

2.能显示时、分、秒,24小时制;

4.时和分有校正功能;

5.整点报时,喇叭响两秒;

6.可设定夜间某个时段不报时;

注意:硬件资源的节约,否则器件内资源会枯竭。

五、交通灯控制器

要求:

1.东西方向为主干道,南北方向为副干道;

2.主干道通行40秒后,若副干道无车,仍主干道通行,否则转换;

4.换向时要有4秒的黄灯期;

5.南北通行时间为20秒,到时间则转换,若未到时,但是南北方向已经无车,也要转换。

6.附加:用数码管显示计时。

六、双钮电子锁

要求:

1. 有两个按钮A和B,开锁密码可自设,如(3、5、7、9);

2. 若按B钮,则门铃响;(滴、嗒…);

3. 开锁过程:按3下A,按一下B,则3579中的“3”即被输入;接着按5下A,按一下B,则输入“5”;依此类推,直到输入完“9”,按B,则锁被打开——用发光管KS表示;

4. 报警:在输入3、5、6、9过程后,如果输入与密码不同,则报警;用发光管BJ表示,同时发出“嘟、嘟……的报警声音;

5. 用一个开关表示关门(即闭锁)。

七、彩灯控制器二

要求:

1.有十只LED,L0……L9

2.显示方式

①先奇数灯依次灭

②再偶数灯依次灭

③再由L0到L9依次灭

3.显示间隔0.5S,1S可调。

八、速度表

要求:

1.显示汽车Km/h数;

2.车轮每转一圈,有一传感脉冲;每个脉冲代表1m的距离;

3.采样周期设为10S;

4.要求显示到小数点后边两位;

5.用数码管显示;

6,最高时速小于300Km/h。

九、彩灯控制器一

要求:

1.有八只LED,L0……L7

2.显示顺序如下表

3.显示间隔为0.25S,0.5S,1S,2S可调。

序号 L0 L1 L2 L3 L4 L5 L6 L7

0 1 1 1 1 1 1 1 0

1 0 1 1 1 1 1 1 1

2 1 0 1 1 1 1 1 1

3 1 1 0 1 1 1 1 1

4 1 1 1 0 1 1 1 1

5 1 1 1 1 0 1 1 1

6 1 1 1 1 1 0 1 1

7 1 1 1 1 1 1 0 1

8 1 1 1 1 1 1 1 0

9 1 1 1 1 1 1 1 1

10 0 1 1 1 1 1 1 1

11 0 0 1 1 1 1 1 1

12 0 0 0 1 1 1 1 1

13 0 0 0 0 1 1 1 1

14 0 0 0 0 0 1 1 1

15 0 0 0 0 0 0 1 1

16 0 0 0 0 0 0 0 1

17 0 0 0 0 0 0 0 0

18 1 0 0 0 0 0 0 0

19 1 1 0 0 0 0 0 0

20 1 1 1 0 0 0 0 0

21 1 1 1 1 0 0 0 0

22 1 1 1 1 1 0 0 0

23 1 1 1 1 1 1 0 0

24 1 1 1 1 1 1 1 0

25 1 0 0 0 0 0 0 0

26 0 1 0 0 0 0 0 0

27 0 0 1 0 0 0 0 0

28 0 0 0 1 0 0 0 0

29 0 0 0 0 1 0 0 0

30 0 0 0 0 0 1 0 0

31 0 0 0 0 0 0 1 0

32 0 0 0 0 0 0 0 1

十、出租车计价器

要求:

1.5 Km起计价,起始价5元,每公里1.2元;

2.传感器输出脉冲为0.5m/个;

3.每0.5km改变一次显示,且提前显示(只显示钱数)。

十一、八音电子琴

要求:

1.能发出1、2、3、4、5、6、7、1八个音;

2.用按键作为键盘;

3.C调到B调对应频率为。

调 频率(HZ)

261.63*2

B 493.88

A 440.00

G 392.00

F 349.23

E 329.63

D 293.66

C 261.63

十二、自动奏乐器一

要求:

1.开机能自动奏一个乐曲,可以反复演奏;

2.速度可变。

1 1 5 5 6 6 5 –

4 4 3 3 2 2 1 –

5 5 4 4 3 3 2 –

5 5 4 4 3 3 2 –

3.附加:显示乐谱。

十三、自动奏乐器二

要求:

1.开机能自动奏一个乐曲,可以反复演奏;

2.速度可变。

1 3 1 3 5 6 5 – 6 6 6 5 – – –

6 6 6 5 5 3 1 2 2 3 2 1 – – –

3.附加:显示乐谱。

十四、自动打铃器

要求:

1.有数字钟功能;(不包括校时等功能)

2.可设置六个时间,定时打铃;

3.响铃5秒钟。

十五、 数字频率计

要求:

1.输入为矩形脉冲,频率范围0~99MHz;

2.用五位数码管显示;只显示最后的结果,不要将计数过程显示出来;

3.单位为Hz和KHz两档,自动切换。

十六、算术运算单元ALU的设计

要求:

1.进行两个四位二进制数的运算。

2.算术运算:A+B,A-B,A+1,A-1

3.逻辑运算:A and B,A or B,A not, A xor B

注意:从整体考虑设计方案,优化资源的利用

十七、游戏机,有三位数码管显示0—7之间的数码,按下按钮,三个数码管循环显示,抬起按钮,显示停止,当显示内容相同时,为赢

要求:

1.三个数码管循环显示的速度不同

2.停止时的延迟时间也要不同

3.如果赢了游戏时,要有数码管或LED的花样显示或声音提示。

十八、路数显报警器

要求:

1.设计16路数显报警器,16路中某一路断开时(可用高低电平表示断开和接通),用十进制数显示该路编号,并发出声音信号;

2.报警时间持续10秒钟;

3.当多路报警时,要有优先级,并将低优先级的报警存储,处理完高优先级报警后,再处理之(附加)。

十九、脉冲按键电话按键显示器

要求:

1.设计一个具有八位显示的电话按键显示器;

2.能准确反映按键数字;

3.显示器显示从低位向高位前移,逐位显示,最低位为当前输入位;

4.重按键时,能首先清除显示;

5.摘下话机后才能拨号有效,挂机后熄灭显示。

二十、病房呼叫系统

要求:

1.用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;

2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);

3.凡有呼叫发出5秒的呼叫声;

4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。

二十一、自动电子钟

要求:

1.用24小时制进行时间显示;

2.能够显示小时、分钟;

3.每秒钟要有秒闪烁指示;

4.上电后从“00:00”开始显示。

二十二、具有数字显示的洗衣机时控电路

要求:

1.洗衣机工作时间可在1~15分钟内任意设定(整分钟数);

2.规定电动机运行规律为正转20s、停10s、反转20s、停10s、再正转20s,以后反复运行;

3.要求能显示洗衣机剩余工作时间,每当电机运行一分钟,显示计数器自动减1,直到显示器为“0”时,电机停止运转;

4.电机正转和反转要有指示灯指示。

二十三、篮球比赛数字计分牌

要求:

1.分别记录两队得分情况;

2.进球得分加2分,罚球进球得分加1分;

3.纠正错判得分减2分或1分;

4.分别用三个数码管显示器记录两队的得分情况。

二十四、电子日历

要求:

1.能显示年、月、日,星期;

2.例如:“01.11.08 6”,星期日显示“8”;

3.年月日,星期可调;

4.不考虑闰年。

二十五、用电器电源自动控制电路

要求:

1.控制电路能使用电器的电源自动开启30s,然后自动关闭30s,如此周而复始的工作,要有工作状态指示;

2.当电源接通时,可随时采用手动方式切断电源;当电源切断时,可随时采用手动方式接通电源;

3.若手动接通,可由定时信号断开,然后进入自动运行状态,反之亦然

4.定时范围0分~60分,要有分秒的倒计时显示。

二十六、设计模拟中央人民广播电台报时电路

要求:

1.当计时器运行到59分49秒开始报时,每鸣叫1s就停叫1s,共鸣叫6响;前5响为低音,频率为750Hz;最后1响为高音,频率为1KHz;

2.至少要有分秒显示。

二十七、数字跑表

要求:

1.具有暂停/启动功能;

2.具有重新开始功能;

3.用6个数码管分别显示百分秒、秒和分钟。

二十八、数字电压表

要求:

1.0-5V输入;

2.用3个数码管显示;有小数点的显示;显示小数后两位数,如0.01;只显示最后结果,不要显示中间结果。

提示:实验箱提供了8bits的DAC -AD558和比较器-LM358N。

(责任编辑:IT教学网)

更多

推荐网络创业文章